OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [sm.vhd] - Diff between revs 152 and 153

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 152 Rev 153
Line 161... Line 161...
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART
        counterDly:customCounter
        counterDly:customCounter
        generic map(
        generic map(
                EOBFLAG => "NO",
                EOBFLAG => "NO",
                ZEROFLAG => "YES",
                ZEROFLAG => "YES",
                BACWARDS => "YES",
                BACKWARDS => "YES",
                EQUALFLAG => "NO",
                EQUALFLAG => "NO",
                width =>   5,
                width =>   5,
                subwidth => 0
                subwidth => 0
 
 
        )
        )

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.