OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [sm.vhd] - Diff between revs 153 and 158

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 153 Rev 158
Line 1... Line 1...
--! @file sm.vhd
--! @file sm.vhd
--! @brief Maquina de Estados. Controla la operación interna y genera los mecanismos de sincronización con el exterior (interrupciones). 
--! @brief Maquina de Estados. Controla la operación interna y genera los mecanismos de sincronización con el exterior (interrupciones). 
--! @author Julián Andrés Guarín Reyes
--! @author Julián Andrés Guarín Reyes
--------------------------------------------------------------
--------------------------------------------------------------
-- RAYTRAC
-- RAYTRAC
-- Author Julian Andres Guarin
-- Author Julian Andres Guarin
-- sm.vhd
-- sm.vhd
Line 30... Line 30...
entity sm is
entity sm is
        port (
        port (
 
 
                --! Señales normales de secuencia.
                --! Señales normales de secuencia.
                clk,rst:                        in std_logic;
                clk,rst:                        in std_logic;
                --! Vector con las instrucción codficada
                --! Vector con las instrucción codficada
                instrQq:in std_logic_vector(floatwidth-1 downto 0);
                instrQq:in std_logic_vector(floatwidth-1 downto 0);
                --! Señal de cola vacia.
                --! Señal de cola vacia.
                instrQ_empty:in std_logic;
                instrQ_empty:in std_logic;
 
 
 
 
                adda,addb:out std_logic_vector (widthadmemblock-1 downto 0);
                adda,addb:out std_logic_vector (widthadmemblock-1 downto 0);
                sync_chain_0,instrRdAckd:out std_logic;
                sync_chain_0,instrRdAckd:out std_logic;
Line 59... Line 59...
end entity;
end entity;
 
 
architecture sm_arch of sm is
architecture sm_arch of sm is
 
 
 
 
        --! LOAD_INSTRUCTION: Estado en el que se espera que en la cola de instrucciones haya una instrucción para ejecutar.
        --! LOAD_INSTRUCTION: Estado en el que se espera que en la cola de instrucciones haya una instrucción para ejecutar.
        --! EXECUTE_INSTRUCTION: Estado en el que se ejecuta la instrucción de la cola de instrucciones.
        --! EXECUTE_INSTRUCTION: Estado en el que se ejecuta la instrucción de la cola de instrucciones.
        --! FLUSH_ARITH_PIPELINE: Estado en el que se espera un número específico de ciclos de reloj, para que se desocupe el pipeline aritmético.
        --! FLUSH_ARITH_PIPELINE: Estado en el que se espera un número específico de ciclos de reloj, para que se desocupe el pipeline aritmético.
 
 
        signal s_state : macState;
        signal s_state : macState;
 
 
 
 
 
 
Line 89... Line 89...
 
 
begin
begin
 
 
        state <= s_state;
        state <= s_state;
 
 
        --! Código UCA, pero en la etapa DPC: La diferencia es que UCA en la etapa DPC, decodifica el datapath dentro del pipeline aritmético.
        --! C&oacute;digo UCA, pero en la etapa DPC: La diferencia es que UCA en la etapa DPC, decodifica el datapath dentro del pipeline aritm&eacute;tico.
        dpc_uca <= s_dpc_uca;
        dpc_uca <= s_dpc_uca;
 
 
 
 
        --! Bloques asignados en la instrucci´øn
        --! Bloques asignados en la instrucci´øn
        s_block_start_a <= instrQq(floatwidth-4 downto floatwidth-8);
        s_block_start_a <= instrQq(floatwidth-4 downto floatwidth-8);
        s_block_end_a <= instrQq(floatwidth-9 downto floatwidth-13);
        s_block_end_a <= instrQq(floatwidth-9 downto floatwidth-13);
 
 
        s_block_start_b <= instrQq(floatwidth-14 downto floatwidth-18);
        s_block_start_b <= instrQq(floatwidth-14 downto floatwidth-18);
        s_block_end_b <= instrQq(floatwidth-19 downto floatwidth-23);
        s_block_end_b <= instrQq(floatwidth-19 downto floatwidth-23);
 
 
        --! Campo que define si la instrucción es combinatoria
        --! Campo que define si la instrucci&oacute;n es combinatoria
        s_combinatory <= instrQq(floatwidth-24);
        s_combinatory <= instrQq(floatwidth-24);
 
 
        --! Campo que define cuantos clocks debe esperar el sistema, despues de que se ejecuta una instrucción, para que el pipeline aritmético quede vacio.
        --! Campo que define cuantos clocks debe esperar el sistema, despues de que se ejecuta una instrucci&oacute;n, para que el pipeline aritm&eacute;tico quede vacio.
        s_delay_field <= instrQq(floatwidth-25 downto floatwidth-32);
        s_delay_field <= instrQq(floatwidth-25 downto floatwidth-32);
 
 
        --! UCA code, código con la instrucción a ejecutar. 
        --! UCA code, c&oacute;digo con la instrucci&oacute;n a ejecutar. 
        s_instr_uca <= instrQq(31 downto 29);
        s_instr_uca <= instrQq(31 downto 29);
 
 
        --! Address Counters
        --! Address Counters
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART
        counterA:customCounter
        counterA:customCounter
Line 198... Line 198...
                end if;
                end if;
 
 
                --!Se&ntilde;al de play/pause del contador del arithmetic pipeline flush counter.
                --!Se&ntilde;al de play/pause del contador del arithmetic pipeline flush counter.
                s_go_delay  <= not(s_zeroFlag_delay);
                s_go_delay  <= not(s_zeroFlag_delay);
 
 
                --! Si estamos en el final de la instrucción, "descargamos" esta de la máquina de estados con acknowledge read.
                --! Si estamos en el final de la instrucci&oacute;n, "descargamos" esta de la m&aacute;quina de estados con acknowledge read.
                if s_eb_b='1' and s_eq_b='1' and s_eb_a='1' and s_eq_a='1' and s_state=EXECUTE_INSTRUCTION then
                if s_eb_b='1' and s_eq_b='1' and s_eb_a='1' and s_eq_a='1' and s_state=EXECUTE_INSTRUCTION then
                        instrRdAckd <= '1';
                        instrRdAckd <= '1';
                else
                else
                        instrRdAckd <= '0';
                        instrRdAckd <= '0';
                end if;
                end if;
Line 238... Line 238...
 
 
                elsif clk='1' and clk'event then
                elsif clk='1' and clk'event then
 
 
                        case s_state is
                        case s_state is
 
 
                                --! Cargar la siguiente instrucción. 
                                --! Cargar la siguiente instrucci&oacute;n. 
                                when LOAD_INSTRUCTION =>
                                when LOAD_INSTRUCTION =>
 
 
                                        eoi <= '0';
                                        eoi <= '0';
 
 
                                        if instrQ_empty='0' and full_r='0' then
                                        if instrQ_empty='0' and full_r='0' then
 
 
                                                --! Siguiente estado: Ejecutar la instrucción.  
                                                --! Siguiente estado: Ejecutar la instrucci&oacute;n.  
                                                s_state <= EXECUTE_INSTRUCTION;
                                                s_state <= EXECUTE_INSTRUCTION;
 
 
                                                --! Asignar el código UCA para que comience la decodificación.
                                                --! Asignar el c&oacute;digo UCA para que comience la decodificaci&oacute;n.
                                                s_dpc_uca <= s_instr_uca;
                                                s_dpc_uca <= s_instr_uca;
 
 
                                                --! Validar el siguiente dato dentro del pipeline aritmético.
                                                --! Validar el siguiente dato dentro del pipeline aritm&eacute;tico.
                                                sync_chain_0 <= '1';
                                                sync_chain_0 <= '1';
 
 
                                                --! En el estado EXECUTE, el valor del contador de delay se debe mantener fijo, y puesto en el valor de delay que contiene la instruccion.
                                                --! En el estado EXECUTE, el valor del contador de delay se debe mantener fijo, y puesto en el valor de delay que contiene la instruccion.
                                                s_set_dly <= '1';
                                                s_set_dly <= '1';
 
 
 
 
 
 
                                        end if;
                                        end if;
 
 
                                --! Ejecución de la instruccion                
                                --! Ejecuci&oacute;n de la instruccion          
                                when EXECUTE_INSTRUCTION =>
                                when EXECUTE_INSTRUCTION =>
 
 
 
 
                                        if s_eb_b='1'and s_eq_b='1' and s_eb_a='1' and s_eq_a='1' then  --! Revisar si es el fin de la instruccion
                                        if s_eb_b='1'and s_eq_b='1' and s_eb_a='1' and s_eq_a='1' then  --! Revisar si es el fin de la instruccion
 
 
 
 
                                                --!Ya no ingresaran mas datos al pipeline aritmético, invalidar.
                                                --!Ya no ingresaran mas datos al pipeline aritm&eacute;tico, invalidar.
                                                sync_chain_0 <= '0';
                                                sync_chain_0 <= '0';
 
 
                                                if s_zeroFlag_delay='1' then
                                                if s_zeroFlag_delay='1' then
 
 
                                                        --! Notificar fin de procesamiento de la instruccion (End Of Instruction)
                                                        --! Notificar fin de procesamiento de la instruccion (End Of Instruction)
Line 286... Line 286...
                                                        s_state <= FLUSH_ARITH_PIPELINE;
                                                        s_state <= FLUSH_ARITH_PIPELINE;
                                                        s_set_dly <= '0';
                                                        s_set_dly <= '0';
 
 
                                                end if;
                                                end if;
 
 
                                        --! Invalidar/validar datos dentro del pipeline aritmético.
                                        --! Invalidar/validar datos dentro del pipeline aritm&eacute;tico.
                                        elsif s_eb_b='1' and full_r='1' then
                                        elsif s_eb_b='1' and full_r='1' then
                                                --! Invalidar el siguiente dato dentro del pipeline aritmético.
                                                --! Invalidar el siguiente dato dentro del pipeline aritm&eacute;tico.
                                                sync_chain_0 <= '0';
                                                sync_chain_0 <= '0';
                                        else
                                        else
                                                sync_chain_0 <= '1';
                                                sync_chain_0 <= '1';
                                        end if;
                                        end if;
 
 
                                --! Ejecución de la instrucción               
                                --! Ejecuci&oacute;n de la instrucci&oacute;n           
                                when FLUSH_ARITH_PIPELINE =>
                                when FLUSH_ARITH_PIPELINE =>
                                        --! Este estado permanece así hasta que, haya una instrucción 
                                        --! Este estado permanece as&iacute; hasta que, haya una instrucci&oacute;n 
                                        if s_zeroFlag_delay='1' then
                                        if s_zeroFlag_delay='1' then
 
 
                                                --! Notificar fin de procesamiento de la instruccion (End Of Instruction)
                                                --! Notificar fin de procesamiento de la instruccion (End Of Instruction)
                                                eoi <= '1';
                                                eoi <= '1';
                                                s_state <= LOAD_INSTRUCTION;
                                                s_state <= LOAD_INSTRUCTION;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.