OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [sm.vhd] - Diff between revs 161 and 162

Show entire file | Details | Blame | View Log

Rev 161 Rev 162
Line 79... Line 79...
        signal s_block_end_b    :       std_logic_vector(4 downto 0);
        signal s_block_end_b    :       std_logic_vector(4 downto 0);
        signal s_combinatory    :       std_logic;
        signal s_combinatory    :       std_logic;
        signal s_delay_field    :       std_logic_vector(7 downto 0);
        signal s_delay_field    :       std_logic_vector(7 downto 0);
        --!TBXEND
        --!TBXEND
 
 
        --!TBXEND:CNT_SIGNLS
        --!TBXSTART:CNT_SIGNLS
        signal s_set_b:                 std_logic;                                              --! Señal para colocar un valor arbitrario en el contador B.
        signal s_set_b:                 std_logic;                                              --! Señal para colocar un valor arbitrario en el contador B.
        signal s_set_a:                 std_logic;
        signal s_set_a:                 std_logic;
        signal s_set_dly:               std_logic;
        signal s_set_dly:               std_logic;
        signal s_go_b:                  std_logic;                                              --! Salida para controlar la pausa(0) o marcha(1) del contador de direcciones del operando B/D.
        signal s_go_b:                  std_logic;                                              --! Salida para controlar la pausa(0) o marcha(1) del contador de direcciones del operando B/D.
        signal s_go_a:                  std_logic;                                              --! Salida para controlar la pausa(0) o marcha(1) del contador de direcciones del operando A/C. 
        signal s_go_a:                  std_logic;                                              --! Salida para controlar la pausa(0) o marcha(1) del contador de direcciones del operando A/C. 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.