URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
[/] [raytrac/] [branches/] [fp/] [sqrt32.vhd] - Diff between revs 152 and 153
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 152 |
Rev 153 |
Line 32... |
Line 32... |
|
|
clk : in std_logic;
|
clk : in std_logic;
|
rd32: in std_logic_vector(31 downto 0);
|
rd32: in std_logic_vector(31 downto 0);
|
sq32: out std_logic_vector(31 downto 0)
|
sq32: out std_logic_vector(31 downto 0)
|
);
|
);
|
end sqrt32;
|
end entity;
|
architecture sqrt32_arch of sqrt32 is
|
architecture sqrt32_arch of sqrt32 is
|
|
|
component altsyncram
|
component altsyncram
|
generic (
|
generic (
|
address_aclr_a : string;
|
address_aclr_a : string;
|
Line 109... |
Line 109... |
width_a => 18,
|
width_a => 18,
|
width_byteena_a => 1
|
width_byteena_a => 1
|
)
|
)
|
port map (rden_a => '1', clock0 => clk, address_a => rd32(23 downto 14), q_a => s0q);
|
port map (rden_a => '1', clock0 => clk, address_a => rd32(23 downto 14), q_a => s0q);
|
|
|
end sqrt32_arch;
|
|
No newline at end of file
|
No newline at end of file
|
|
end architecture;
|
No newline at end of file
|
No newline at end of file
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.