OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [sqrt32.vhd] - Diff between revs 153 and 158

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 153 Rev 158
Line 23... Line 23...
--     You should have received a copy of the GNU General Public License
--     You should have received a copy of the GNU General Public License
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
 
use work.arithpack.all;
entity sqrt32 is
entity sqrt32 is
 
 
        port (
        port (
 
 
                clk     : in std_logic;
                clk     : in std_logic;
                rd32: in std_logic_vector(31 downto 0);
                rd32: in xfloat32;
                sq32: out std_logic_vector(31 downto 0)
                sq32: out xfloat32
        );
        );
end entity;
end entity;
architecture sqrt32_arch of sqrt32 is
architecture sqrt32_arch of sqrt32 is
 
 
        component altsyncram
        component altsyncram

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.