OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [ap_n_dpc.vhd] - Diff between revs 158 and 160

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 158 Rev 160
Line 80... Line 80...
        signal sprd32blk                                        : vectorblock06;
        signal sprd32blk                                        : vectorblock06;
        signal sadd32blk                                        : vectorblock04;
        signal sadd32blk                                        : vectorblock04;
        signal snormfifo_q,snormfifo_d          : vectorblock03;
        signal snormfifo_q,snormfifo_d          : vectorblock03;
        signal sdpfifo_q                                        : vectorblock02;
        signal sdpfifo_q                                        : vectorblock02;
        signal ssqr32blk,sinv32blk                      : std_logic_vector(floatwidth-1 downto 0);
        signal ssqr32blk,sinv32blk                      : std_logic_vector(floatwidth-1 downto 0);
 
 
 
        --!TBXSTART:SYNC_CHAIN
        signal ssync_chain                                      : std_logic_vector(28 downto 0);
        signal ssync_chain                                      : std_logic_vector(28 downto 0);
        signal ssync_chain_d                            : std_logic;
        signal ssync_chain_d                            : std_logic;
 
        --!TBXEND
        signal sres567w,sres123w,sres2w         : std_logic;
        signal sres567w,sres123w,sres2w         : std_logic;
        signal sres0w,sres4w                            : std_logic;
        signal sres0w,sres4w                            : std_logic;
        signal sres567f,sres123f                        : std_logic; --! Entradas de la señal de full de las colas de resultados. 
        signal sres567f,sres123f                        : std_logic; --! Entradas de la señal de full de las colas de resultados. 
        signal sres24f,sres0f                           : std_logic;
        signal sres24f,sres0f                           : std_logic;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.