OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [ap_n_dpc.vhd] - Diff between revs 160 and 161

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 160 Rev 161
Line 72... Line 72...
 
 
 
 
 
 
 
 
 
 
 
        signal sparaminput                                      : vectorblock12;
        signal sparaminput,sfactor                      : vectorblock12;
        --!TBXSTART:FACTORS_N_ADDENDS
        signal ssumando,sresult                         : vectorblock08;
        signal sfactor                                          : vectorblock12;
 
        signal ssumando                                         : vectorblock08;
 
        --!TBXEND
 
        signal sresult                                          : vectorblock08;
        signal sprd32blk                                        : vectorblock06;
        signal sprd32blk                                        : vectorblock06;
        signal sadd32blk                                        : vectorblock04;
        signal sadd32blk                                        : vectorblock04;
        signal snormfifo_q,snormfifo_d          : vectorblock03;
        signal snormfifo_q,snormfifo_d          : vectorblock03;
        signal sdpfifo_q                                        : vectorblock02;
        signal sdpfifo_q                                        : vectorblock02;
        signal ssqr32blk,sinv32blk                      : std_logic_vector(floatwidth-1 downto 0);
        signal ssqr32blk,sinv32blk                      : std_logic_vector(floatwidth-1 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.