URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
[/] [raytrac/] [branches/] [fp_sgdma/] [ap_n_dpc.vhd] - Diff between revs 248 and 256
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 248 |
Rev 256 |
Line 49... |
Line 49... |
vy : out std_logic_vector(31 downto 0);
|
vy : out std_logic_vector(31 downto 0);
|
vz : out std_logic_vector(31 downto 0);
|
vz : out std_logic_vector(31 downto 0);
|
sc : out std_logic_vector(31 downto 0);
|
sc : out std_logic_vector(31 downto 0);
|
ack : in std_logic;
|
ack : in std_logic;
|
empty : out std_logic;
|
empty : out std_logic;
|
|
sign_switcheroo : in std_logic;
|
|
|
--paraminput : in vectorblock06; --! Vectores A,B
|
--paraminput : in vectorblock06; --! Vectores A,B
|
|
|
dcs : in std_logic_vector(2 downto 0); --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D).
|
dcs : in std_logic_vector(2 downto 0); --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D).
|
|
|
Line 176... |
Line 177... |
|
|
clk : in std_logic;
|
clk : in std_logic;
|
rst : in std_logic;
|
rst : in std_logic;
|
|
|
sign : in std_logic;
|
sign : in std_logic;
|
|
sign_switch : in std_logic;
|
|
|
factor0 : in std_logic_vector(31 downto 0);
|
factor0 : in std_logic_vector(31 downto 0);
|
factor1 : in std_logic_vector(31 downto 0);
|
factor1 : in std_logic_vector(31 downto 0);
|
factor2 : in std_logic_vector(31 downto 0);
|
factor2 : in std_logic_vector(31 downto 0);
|
factor3 : in std_logic_vector(31 downto 0);
|
factor3 : in std_logic_vector(31 downto 0);
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.