OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [arith/] [single/] [arithblock.vhd] - Diff between revs 238 and 256

Show entire file | Details | Blame | View Log

Rev 238 Rev 256
Line 30... Line 30...
 
 
                clk     : in std_logic;
                clk     : in std_logic;
                rst : in std_logic;
                rst : in std_logic;
 
 
                sign : in std_logic;
                sign : in std_logic;
 
                sign_switch     : in std_logic;
 
 
                factor0         : in std_logic_vector(31 downto 0);
                factor0         : in std_logic_vector(31 downto 0);
                factor1         : in std_logic_vector(31 downto 0);
                factor1         : in std_logic_vector(31 downto 0);
                factor2         : in std_logic_vector(31 downto 0);
                factor2         : in std_logic_vector(31 downto 0);
                factor3         : in std_logic_vector(31 downto 0);
                factor3         : in std_logic_vector(31 downto 0);
Line 78... Line 79...
 
 
architecture arithblock_arch of arithblock is
architecture arithblock_arch of arithblock is
 
 
        signal sadd32blko_01 : std_logic_vector(31 downto 0);
        signal sadd32blko_01 : std_logic_vector(31 downto 0);
        signal ssq32o : std_logic_vector(31 downto 0);
        signal ssq32o : std_logic_vector(31 downto 0);
 
        signal ssigna1 : std_logic;
 
 
        --! Componentes Aritméticos
        --! Componentes Aritméticos
        component fadd32long
        component fadd32long
        port (
        port (
                clk : in std_logic;
                clk : in std_logic;
Line 121... Line 123...
 
 
begin
begin
 
 
        sq32o <= ssq32o;
        sq32o <= ssq32o;
        a1 <= sadd32blko_01;
        a1 <= sadd32blko_01;
 
        ssigna1 <= sign_switch or sign;
 
 
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART
        adder_i_0 : fadd32long
        adder_i_0 : fadd32long
        port map (
        port map (
                clk => clk,
                clk => clk,
Line 135... Line 138...
        );
        );
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART
        adder_i_1 : fadd32long
        adder_i_1 : fadd32long
        port map (
        port map (
                clk => clk,
                clk => clk,
                dpc => sign,
                dpc => ssigna1,
                a32 => sumando2,
                a32 => sumando2,
                b32 => sumando3,
                b32 => sumando3,
                c32 => sadd32blko_01
                c32 => sadd32blko_01
        );
        );
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.