OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [arith/] [wide/] [fmul32.vhd] - Diff between revs 240 and 241

Show entire file | Details | Blame | View Log

Rev 240 Rev 241
Line 558... Line 558...
                dataa => s0dataa_gama_p0,
                dataa => s0dataa_gama_p0,
                datab => s0uma_p0(5 downto 0),
                datab => s0uma_p0(5 downto 0),
                result => s0bc_p0
                result => s0bc_p0
        );
        );
 
 
        mult18x6bc0:lpm_mult
        mult18x6bc1:lpm_mult
        generic map (
        generic map (
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
                lpm_pipeline => 0,
                lpm_pipeline => 0,
                lpm_representation => "UNSIGNED",
                lpm_representation => "UNSIGNED",
                lpm_type => "LPM_MULT",
                lpm_type => "LPM_MULT",
                lpm_widtha => 18,
                lpm_widtha => 18,
                lpm_widthb => 6,
                lpm_widthb => 6,
                lpm_widthp => 24
                lpm_widthp => 24
        )
        )
        port map (
        port map (
                dataa => s0dataa_gama_p0,
                dataa => s0dataa_gama_p1,
                datab => s0uma_p0(5 downto 0),
                datab => s0uma_p1(5 downto 0),
                result => s0bc_p0
                result => s0bc_p1
        );
        );
 
 
        mult18x6bc0:lpm_mult
        mult18x6bc2:lpm_mult
        generic map (
        generic map (
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
                lpm_pipeline => 0,
                lpm_pipeline => 0,
                lpm_representation => "UNSIGNED",
                lpm_representation => "UNSIGNED",
                lpm_type => "LPM_MULT",
                lpm_type => "LPM_MULT",
                lpm_widtha => 18,
                lpm_widtha => 18,
                lpm_widthb => 6,
                lpm_widthb => 6,
                lpm_widthp => 24
                lpm_widthp => 24
        )
        )
        port map (
        port map (
                dataa => s0dataa_gama_p0,
                dataa => s0dataa_gama_p2,
                datab => s0uma_p0(5 downto 0),
                datab => s0uma_p2(5 downto 0),
                result => s0bc_p0
                result => s0bc_p2
        );
        );
 
 
        mult18x6bc0:lpm_mult
        mult18x6bc3:lpm_mult
        generic map (
        generic map (
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
                lpm_pipeline => 0,
                lpm_pipeline => 0,
                lpm_representation => "UNSIGNED",
                lpm_representation => "UNSIGNED",
                lpm_type => "LPM_MULT",
                lpm_type => "LPM_MULT",
                lpm_widtha => 18,
                lpm_widtha => 18,
                lpm_widthb => 6,
                lpm_widthb => 6,
                lpm_widthp => 24
                lpm_widthp => 24
        )
        )
        port map (
        port map (
                dataa => s0dataa_gama_p0,
                dataa => s0dataa_gama_p4,
                datab => s0uma_p0(5 downto 0),
                datab => s0uma_p4(5 downto 0),
                result => s0bc_p0
                result => s0bc_p4
        );
        );
 
 
        mult18x6bc0:lpm_mult
        mult18x6bc5:lpm_mult
        generic map (
        generic map (
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
                lpm_pipeline => 0,
                lpm_pipeline => 0,
                lpm_representation => "UNSIGNED",
                lpm_representation => "UNSIGNED",
                lpm_type => "LPM_MULT",
                lpm_type => "LPM_MULT",
                lpm_widtha => 18,
                lpm_widtha => 18,
                lpm_widthb => 6,
                lpm_widthb => 6,
                lpm_widthp => 24
                lpm_widthp => 24
        )
        )
        port map (
        port map (
                dataa => s0dataa_gama_p0,
                dataa => s0dataa_gama_p5,
                datab => s0uma_p0(5 downto 0),
                datab => s0uma_p5(5 downto 0),
                result => s0bc_p0
                result => s0bc_p5
        );
        );
 
 
        mult18x6bc0:lpm_mult
 
        generic map (
 
                lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
 
                lpm_pipeline => 0,
 
                lpm_representation => "UNSIGNED",
 
                lpm_type => "LPM_MULT",
 
                lpm_widtha => 18,
 
                lpm_widthb => 6,
 
                lpm_widthp => 24
 
        )
 
        port map (
 
                dataa => s0dataa_gama_p0,
 
                datab => s0uma_p0(5 downto 0),
 
                result => s0bc_p0
 
        );
 
 
 
        --! Exponent Addition 
        --! Exponent Addition 
        process (
        process (
                s0sga_p0,
                s0sga_p0,
                s0sga_p1,
                s0sga_p1,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.