OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [arithpack.vhd] - Diff between revs 203 and 213

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 203 Rev 213
Line 17... Line 17...
 
 
 
 
package arithpack is
package arithpack is
 
 
        --!Constantes usadas por los RTLs
        --!Constantes usadas por los RTLs
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;constant sc : integer := 03;
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;
Line 290... Line 290...
                datab   : in std_logic_vector ( lpm_widthb-1 downto 0 );
                datab   : in std_logic_vector ( lpm_widthb-1 downto 0 );
                result  : out std_logic_vector( lpm_widthp-1 downto 0 )
                result  : out std_logic_vector( lpm_widthp-1 downto 0 )
        );
        );
        end component;
        end component;
        --! LPM Memory Compiler.
        --! LPM Memory Compiler.
        component scfifo
--      component scfifo
        generic (
--      generic (
                add_ram_output_register :string;
--              add_ram_output_register :string;
                allow_rwcycle_when_full :string;
--              allow_rwcycle_when_full :string;
                intended_device_family  :string;
--              intended_device_family  :string;
                lpm_hint                                :string;
--              lpm_hint                                :string;
                lpm_numwords                    :natural;
--              lpm_numwords                    :natural;
                lpm_showahead                   :string;
--              lpm_showahead                   :string;
                lpm_type                                :string;
--              lpm_type                                :string;
                lpm_width                               :natural;
--              lpm_width                               :natural;
                overflow_checking               :string;
--              overflow_checking               :string;
                underflow_checking              :string;
--              underflow_checking              :string;
                use_eab                                 :string
--              use_eab                                 :string 
        );
--      );
        port(
--      port(
                rdreq           : in std_logic;
--              rdreq           : in std_logic;
                aclr            : in std_logic;
--              aclr            : in std_logic;
                empty           : out std_logic;
--              empty           : out std_logic;
                clock           : in std_logic;
--              clock           : in std_logic;
                q                       : out std_logic_vector(lpm_width-1 downto 0);
--              q                       : out std_logic_vector(lpm_width-1 downto 0);
                wrreq           : in std_logic;
--              wrreq           : in std_logic;
                data            : in std_logic_vector(lpm_width-1 downto 0);
--              data            : in std_logic_vector(lpm_width-1 downto 0);
                almost_full : out std_logic;
--              almost_full : out std_logic;
                full            : out std_logic
--              full            : out std_logic
        );
--      );
        end component;
--      end component;
 
 
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.