OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [raytrac.vhd] - Diff between revs 196 and 202

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 196 Rev 202
Line 30... Line 30...
        port (
        port (
 
 
                clk : in std_logic;
                clk : in std_logic;
                rst : in std_logic;
                rst : in std_logic;
 
 
                --! Señal de lectura de alguna de las colas de resultados.
 
                rd      : in std_logic;
 
 
 
                --! Señal de escritura en alguno de los bloques de memoria de operandos o en la cola de instrucciones.
                --! Interface Avalon Master
                wr      : in std_logic;
                address_master  : out   std_logic_vector(31 downto 0);
 
                begintransfer   : out   std_logic;
 
                read_master             : out   std_logic;
 
                readdata_master : in    std_logic_vector (31 downto 0);
 
                write_master    : out   std_logic;
 
                writedata_master: out   std_logic_vector (31 downto 0);
 
                waitrequest             : in    std_logic_vector;
 
                readdatavalid_m : in    std_logic_vector;
 
 
 
                --! Interface Avalon Slave
 
                address_slave   : in    std_logic_vector(3 downto 0);
 
                read_slave              : in    std_logic;
 
                readdata_slave  : in    std_logic_vector(31 downto 0);
 
                write_slave             : in    std_logic;
 
                writedata_slave : in    std_logic_vector(31 downto 0);
 
                readdatavalid_s : out   std_logic;
 
 
                --! Direccion de escritura o lectura
                --! Interface Interrupt Sender
                add : in std_logic_vector (12 downto 0);
                irq     : out std_logic
 
 
                --! datos de entrada
 
                d       : in std_logic_vector (31 downto 0);
 
 
 
                --! Interrupciones
 
                irq : out std_logic;
 
 
 
                --! Salidas
 
                q : out std_logic_vector (31 downto 0)
 
 
 
 
 
 
 
        );
        );
end entity;
end entity;
 
 
architecture raytrac_arch of raytrac is
architecture raytrac_arch of raytrac is
 
 
        --! Señales de State Machine -> Memblock
        --! Señales de State Machine -> Memblock
        --!TBXSTART:SM
        --!TBXSTART:SM
        signal s_int_rd_add             : std_logic_vector (17 downto 0);
 
        signal s_adda                   : std_logic_vector (8 downto 0);
        signal s_adda                   : std_logic_vector (8 downto 0);
        signal s_addb                   : std_logic_vector (8 downto 0);
        signal s_addb                   : std_logic_vector (8 downto 0);
        signal s_iq_rd_ack              : std_logic;
        signal s_iq_rd_ack              : std_logic;
        --! Señales de State Machine -> DataPathControl
        --! Señales de State Machine -> DataPathControl
        signal s_sync_chain_0   : std_logic;
 
        signal s_dpc_uca                : std_logic_vector(2 downto 0);
        signal s_dpc_uca                : std_logic_vector(2 downto 0);
        signal s_eoi                    : std_logic;
        signal s_eoi                    : std_logic;
        signal s_sign                   : std_logic;
        signal s_sign                   : std_logic;
        --!TBXEND
        --!TBXEND
        --! Señales de State Machine -> Testbench
 
        signal s_smState                : macState;
 
 
 
 
 
 
 
 
 
 
 
 
 
        --!TBXSTART:MBLK
        --!TBXSTART:MBLK
        --! Señales de Memblock -> State Machine
        --! Señales de Memblock -> State Machine
        signal s_iq_empty               : std_logic;
        signal sqresult_e               : std_logic_vector(3 downto 0);
        signal s_iq                             : std_logic_vector (31 downto 0);
        signal sqparams_e               : std_logic;
        --! Señales de Memblock -> Interruption Machine
 
        signal s_rfull_events   : std_logic_vector (3 downto 0); --Estas señales tambien entran a DPC.
 
        --! Señales de Memblock -> DPC.
        --! Señales de Memblock -> DPC.
        signal s_q                              : vectorblock12;
        signal sparaminput              : vectorblock06;
        signal s_normfifo_q             : std_logic_vector (3*32-1 downto 0);
        signal sqresult_q               : vectorblock04;
        signal s_dpfifo_q               : std_logic_vector (2*32-1 downto 0);
        --!Señales de Memblock -> DPC.
        --!TBXEND
        signal s_sync_chain_0   : std_logic;
        --!TXBXSTART:SQR32
 
        --!Señales de Bloque de Raíz Cuadrada a DPC
 
        signal s_sq32                   : std_logic_vector (31 downto 0);
 
        --!TBXEND
 
        --!TXBXSTART:INV32
 
        --!Señales del bloque inversor a DPC.
 
        signal s_qout32                 : std_logic_vector (31 downto 0);
 
        --!TBXEND
        --!TBXEND
 
 
        --!TXBXSTART:DPC
        --!TXBXSTART:DPC
        --! Señales de DataPathControl -> State Machine
 
        signal s_full_r                 : std_logic;
 
        --! Señales de DPC a sqrt32.
 
        signal s_rd32                   : std_logic_vector (31 downto 0);
 
        --! Señales de DPC a inv32.
 
        signal s_dvd32                  : std_logic_vector (31 downto 0);
 
        --! Señales de DPC  a invr32.
 
        --! Se&ntilde que va desde DPC -> Memblock
        --! Se&ntilde que va desde DPC -> Memblock
        signal s_resultfifo_wr  : std_logic_vector (7 downto 0);
        signal sqresult_d               : vectorblock04;
        signal s_dpfifo_w               : std_logic;
        signal sqresult_w               : std_logic_vector (3 downto 0);
        signal s_dpfifo_r               : std_logic;
        signal sqresult_rdec    : std_logic_vector (3 downto 0);
        signal s_dpfifo_d               : std_logic_vector (2*32-1 downto 0);
 
        signal s_normfifo_w             : std_logic;
 
        signal s_normfifo_r             : std_logic;
 
        signal s_results_d              : vectorblock08;
 
        signal s_normfifo_d             : std_logic_vector (3*32-1 downto 0);
 
        --!Señales de DPC a Interruption Machine
 
        signal s_eoi_events             : std_logic_vector (3 downto 0);
 
        --! Señales de DPC a ArithBlock
        --! Señales de DPC a ArithBlock
        signal s_f                              : vectorblock12;
        signal sprd32blki               : vectorblock12;
        signal s_a                              : vectorblock08;
        signal sadd32blki               : vectorblock06;
        --! Parcialmente las señales de salida de los sumadores van al data path control.
 
        signal s_s                              : vectorblock04;
 
        signal s_p                              : vectorblock06;
 
        --!TBXEND
        --!TBXEND
        signal s_resultsfifo_w  : std_logic_vector (4 downto 0);
 
 
 
        --!TBXSTART:IM
        --!TBXSTART:ARITHBLOCK
        --! Señales de Interruption Machine al testbench
        --! Señales de Arithblock a DPC
        signal s_iCtrlState             : iCtrlState;
        signal sadd32blko               : vectorblock03;
        signal s_int                    : std_logic;
        signal sprd32blko               : vectorblock06;
 
        signal ssq32o                   : xfloat32;
 
        signal sinv32o                  : xfloat32;
        --!TBXEND       
        --!TBXEND       
 
 
 
        --!TBXSTART:SM
 
        --! Señales de State Machine a DPC
 
        signal sqresult_sel             : std_logic_vector(1 downto 0);
 
        signal sdataread                : std_logic;
 
        signal sd                               : std_logic;
 
        signal sc                               : std_logic;
 
        signal ss                               : std_logic;
 
 
 
        --! Señales de State Machine a Memblock
 
        signal sgo                              : std_logic;
 
        signal scomb                    : std_logic;
 
        signal sload                    : std_logic;
 
        signal sload_chain              : std_logic_vector(1 downto 0);
 
        --!TBXEND       
 
 
 
 
 
 
begin
begin
 
        --!TBXINSTANCESTART
 
        state_machine : raytrac_control
 
        port map (
 
                clk                     => clk,
 
                rst                     => rst,
 
                adda                    => s_adda,
 
                addb                    => s_addb,
 
                sync_chain_0    => s_sync_chain_0,
 
                instrRdAckd             => s_iq_rd_ack,
 
                full_r                  => s_full_r,
 
                eoi                             => s_eoi,
 
                dpc_uca                 => s_dpc_uca,
 
                state                   => s_smState
 
 
        --! Sacar las interrupciones
        );
        irq <= s_int;
        --!TBXINSTANCEEND
 
 
        --! Signo de los bloques de suma
 
        s_sign <= not(s_dpc_uca(2)) and s_dpc_uca(1);
 
        --! Instanciar el bloque de memorias MEMBLOCK
 
        s_resultfifo_wr <= s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(4)&s_resultsfifo_w(3)&s_resultsfifo_w(2)&s_resultsfifo_w(1)&s_resultsfifo_w(2)&s_resultsfifo_w(0);
 
        s_int_rd_add  <= s_addb&s_adda;
 
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART
        MemoryBlock : memblock
        MemoryBlock : memblock
        port map (
        port map (
                clk                                     => clk,
                clk                                     => clk,
                rst                                     => rst,
                rst                                     => rst,
                dpfifo_rd                       => s_dpfifo_r,
                go                                      => sgo,
                normfifo_rd                     => s_normfifo_r,
                comb                            => scomb,
                dpfifo_wr                       => s_dpfifo_w,
                load                            => sload,
                normfifo_wr                     => s_normfifo_w,
 
                instrfifo_rd            => s_iq_rd_ack,
                readdatavalid           => readdatavalid,
                resultfifo_wr           => s_resultfifo_wr,
                readdata_master         => readdata_master,
                instrfifo_empty         => s_iq_empty,
                qparams_e                       => sqparams_e,
                ext_rd                          => rd,
                qresult_d                       => sqresult_d,
                ext_wr                          => wr,
                paraminput                      => sparaminput,
                ext_wr_add                      => add,
                sync_chain_0            => s_sync_chain_0,
                ext_rd_add                      => add(12 downto 9),
                qresult_e                       => sqresult_e,
                ext_d                           => d,
                qresult_w                       => sqresult_w,
                resultfifo_full         => s_rfull_events,
                qresult_rdec            => sqresult_rdec
                int_d                           => s_results_d,
 
                status_register         => s_eoi_events,
 
                ext_q                           => q,
 
                instrfifo_q                     => s_iq,
 
                int_q                           => s_q,
 
                int_rd_add                      => s_int_rd_add,
 
                dpfifo_d                        => s_dpfifo_d,
 
                normfifo_d                      => s_normfifo_d,
 
                dpfifo_q                        => s_dpfifo_q,
 
                normfifo_q                      => s_normfifo_q
 
        );
        );
        --!TBXINSTANCEEND
        --!TBXINSTANCEEND
 
 
        --! Instanciar el bloque DPC
        --! Instanciar el bloque DPC
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART
        DataPathControl_And_Syncronization_Block: dpc
        DataPathControl_And_Syncronization_Block: dpc
        port map (
        port map (
 
 
                clk                             => clk,
                clk                             => clk,
                rst                             => rst,
                rst                             => rst,
                paraminput              => s_q,
 
                prd32blko               => s_p,
                paraminput              => sparaminput,
                add32blko               => s_s,
 
                sqr32blko               => s_sq32,
                prd32blko               => sprd32blko,
                inv32blko               => s_qout32,
                add32blko               => sadd32blko,
                fifo32x23_q             => s_normfifo_q,
                inv32blko               => sinv32o,
                fifo32x09_q             => s_dpfifo_q,
                sqr32blko               => ssq32o,
                unary                   => s_dpc_uca(2),
 
                crossprod               => s_dpc_uca(1),
                d                               => sd,
                addsub                  => s_dpc_uca(0),
                c                               => sc,
 
                s                               => ss,
 
 
                sync_chain_0    => s_sync_chain_0,
                sync_chain_0    => s_sync_chain_0,
                eoi_int                 => s_eoi,
 
                eoi_demuxed_int => s_eoi_events,
 
                sqr32blki               => s_rd32,
 
                inv32blki               => s_dvd32,
 
                fifo32x26_d             => s_normfifo_d,
 
                fifo32x09_d             => s_dpfifo_d,
 
                prd32blki               => s_f,
 
                add32blki               => s_a,
 
                resw                    => s_resultsfifo_w,
 
                fifo32x09_w             => s_dpfifo_w,
 
                fifo32x23_w             => s_normfifo_w,
 
                fifo32x09_r             => s_dpfifo_r,
 
                fifo32x23_r             => s_normfifo_r,
 
                resf_vector             => s_rfull_events,
 
                resf_event              => s_full_r,
 
                resultoutput    => s_results_d
 
        );
 
        --!TBXINSTANCEEND
 
 
 
 
                qresult_q               => sqresult_q,
 
                qresult_sel             => sqresult_sel,
 
                qresult_rdec    => sqresult_rdec,
 
                qresult_w               => sqresult_w,
 
                qresult_d               => sqresult_d,
 
 
 
                dataread                => sdataread,
 
 
 
                prd32blki               => sprd32blki,
 
                add32blki               => sadd32blki,
 
 
 
                dataout                 => writedata_master
 
 
        --! Instanciar el bloque de inversion
 
        --!TBXINSTANCESTART
 
        inversion_block : invr32
 
        port map (
 
                clk             => clk,
 
                dvd32   => s_dvd32,
 
                qout32  => s_qout32
 
        );
 
        --!TBXINSTANCEEND
 
 
 
        --! Instanciar el bloque de ra&iacute;z cuadrada.
 
        --!TBXINSTANCESTART
 
        square_root : sqrt32
 
        port map (
 
                clk     => clk,
 
                rd32    => s_rd32,
 
                sq32    => s_sq32
 
        );
        );
        --!TBXINSTANCEEND
        --!TBXINSTANCEEND
 
 
        --! Instanciar el bloque aritm&eacute;tico.
        --! Instanciar el bloque aritm&eacute;tico.
        --!TBXINSTANCESTART
        --!TBXINSTANCESTART
        arithmetic_block : arithblock
        arithmetic_block : arithblock
        port map (
        port map (
                clk => clk,
                clk => clk,
                rst => rst,
                rst => rst,
                dpc => s_sign,
                sign            => ss,
                f       => s_f,
                prd32blki       => sprd32blki,
                a       => s_a,
                add32blki       => sadd32blki,
                s       => s_s,
                add32blko       => sadd32blko,
                p       => s_p
                prd32blko       => sprd32blko,
 
                sq32o           => ssq32o,
 
                inv32o          => sinv32o
        );
        );
        --!TBXINSTANCEEND
        --!TBXINSTANCEEND
 
 
        --! Instanciar la maquina de interrupciones
 
        --!TBXINSTANCESTART
 
        interruption_machine : im
 
        generic map (
 
                num_events              => 4,
 
                cycles_to_wait  => 1023
 
        )
 
        port map (
 
                clk                             => clk,
 
                rst                             => rst,
 
                rfull_event             => s_full_r,
 
                eoi_event               => s_eoi,
 
                int                             => s_int,
 
                state                   => s_iCtrlState
 
 
 
        );
 
        --!TBXINSTANCEEND
 
        --!Instanciar la maquina de estados
        --!Instanciar la maquina de estados
 
 
        --!TBXINSTANCESTART
 
        state_machine : sm
 
 
 
        port map (
 
                clk                     => clk,
 
                rst                     => rst,
 
                instrQq                 => s_iq,
 
                instrQ_empty    => s_iq_empty,
 
                adda                    => s_adda,
 
                addb                    => s_addb,
 
                sync_chain_0    => s_sync_chain_0,
 
                instrRdAckd             => s_iq_rd_ack,
 
                full_r                  => s_full_r,
 
                eoi                             => s_eoi,
 
                dpc_uca                 => s_dpc_uca,
 
                state                   => s_smState
 
 
 
        );
 
        --!TBXINSTANCEEND
 
 
 
end architecture;
end architecture;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.