OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] [raytrac.vhd] - Diff between revs 243 and 246

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 243 Rev 246
Line 123... Line 123...
        constant reg_ctrl_flags_ap              :       integer:=13;    --! Address Pending Flag.
        constant reg_ctrl_flags_ap              :       integer:=13;    --! Address Pending Flag.
        constant reg_ctrl_rlsc                  :       integer:=14;    --! RLSC bit : Reload Load Sync Chain.
        constant reg_ctrl_rlsc                  :       integer:=14;    --! RLSC bit : Reload Load Sync Chain.
        constant reg_ctrl_rom                   :       integer:=15;    --! ROM bit : Read Only Mode bit.
        constant reg_ctrl_rom                   :       integer:=15;    --! ROM bit : Read Only Mode bit.
 
 
        constant reg_ctrl_alb                   :       integer:=16;    --! Conditional Writing. A<B.
        constant reg_ctrl_alb                   :       integer:=16;    --! Conditional Writing. A<B.
        constant reg_ctrl_aeb                   :       integer:=17;    --! A==B.
        constant reg_ctrl_ageb                  :       integer:=17;    --! A>=B.
        constant reg_ctrl_ageb                  :       integer:=18;    --! A>=B.
        constant reg_ctrl_aeb                   :       integer:=18;    --! A==B.
 
        constant reg_ctrl_aneb                  :       integer:=19;    --! A!=B.
 
 
 
        constant reg_ctrl_accum_op              :       integer:=20;    --! Acummulative Addition/Sub. User must write in the high word of nfetch how many time should be executed the addition/sub.
 
 
        constant reg_ctrl_irq                   :       integer:=31;    --! IRQ bit : Interrupt Request Signal.
        constant reg_ctrl_irq                   :       integer:=31;    --! IRQ bit : Interrupt Request Signal.
 
 
        --! Nfetch Reg Mask
        --! Nfetch Reg Mask
        constant reg_nfetch_high        :       integer:=11;    --! NFETCH_HIGH : Higher bit to program the number of addresses to load in the interconnection. 
        constant reg_nfetch_high        :       integer:=11;    --! NFETCH_HIGH : Higher bit to program the number of addresses to load in the interconnection. 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.