OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [arithpack.vhd] - Diff between revs 40 and 42

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 40 Rev 42
Line 33... Line 33...
package arithpack is
package arithpack is
 
 
        --! Constante con el nivel l—gico de reset.
        --! Constante con el nivel l—gico de reset.
        constant rstMasterValue : std_logic := '1';
        constant rstMasterValue : std_logic := '1';
 
 
 
        --! Generacion de Clock y de Reset.
 
        component clock_gen
 
                generic (tclk : time := 20 ns);
 
                port    (clk,rst : out std_logic);
 
        end component;
 
 
 
 
        --! Memoria Rom Para Realizar TestBench
        --! Memoria Rom Para Realizar TestBench
        component tbRom
        component tbRom
        generic (
        generic (
                tbRom_init_file : string := "X:/Tesis/Workspace/hw/rt_lib/arith/bin/mema.mif"
                tbRom_init_file : string := "X:/Tesis/Workspace/hw/rt_lib/arith/bin/mema.mif"
        );
        );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.