OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [arithpack.vhd] - Diff between revs 52 and 59

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 52 Rev 59
Line 208... Line 208...
                result  :       out std_logic_vector (width-1 downto 0);
                result  :       out std_logic_vector (width-1 downto 0);
                cout    :       out std_logic
                cout    :       out std_logic
        );
        );
        end component;
        end component;
 
 
 
        --! Entidad raiz cuadrada para enteros de 32 bits. no worries 'jhonny g' aint no thy recepie!. 
 
        --! No es una entidad de aproximaci´on, posee: etapa de decodificaci&acute e imparidad;on de direcciones,
 
        --! etapa de calculo de la raiz cuadrada mediante memoria, etapa: 
 
        component sqrt
 
 
 
        port (
 
                clk,rst :       in std_logic;   -- señales de control.
 
                r               :       in std_logic_vector (31 downto 0);       --radicando
 
                s               :       out std_logic_vector (15 downto 0)
 
        );
 
        end component;
 
 
        --! Procedimiento para escribir std_logic_vectors en formato hexadecimal.
        --! Procedimiento para escribir std_logic_vectors en formato hexadecimal.
        procedure hexwrite_0(l:inout line; h: in std_logic_vector);
        procedure hexwrite_0(l:inout line; h: in std_logic_vector);
 
 
 
 
 
        component shifter is
 
        generic (
 
                address_width   : integer := 9;
 
                width                   : integer := 12
 
        );
 
        port (
 
                data                    : in std_logic_vector(width - 1 downto 0);
 
                address                 : out std_logic_vector (address_width-1 downto 0);
 
                zero                    : out std_logic;
 
                maxoneispair    : out std_logic
 
        );
 
        end component;
 
 
 
 
 
 
end package;
end package;
 
 
--! Funciones utilitarias, relacionadas sobre todo con el testbench
--! Funciones utilitarias, relacionadas sobre todo con el testbench
package body arithpack is
package body arithpack is
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.