OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [arithpack.vhd] - Diff between revs 59 and 60

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 59 Rev 60
Line 22... Line 22...
 
 
--! Biblioteca de definicion de senales y tipos estandares, comportamiento de operadores aritmeticos y logicos. 
--! Biblioteca de definicion de senales y tipos estandares, comportamiento de operadores aritmeticos y logicos. 
library ieee;
library ieee;
--! Paquete de definicion estandard de logica.
--! Paquete de definicion estandard de logica.
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
use ieee.math_real.all;
 
 
--use ieee.std_logic_unsigned.conv_integer;
--use ieee.std_logic_unsigned.conv_integer;
 
 
 
 
--! Biblioteca de definicion de memorias de altera
--! Biblioteca de definicion de memorias de altera
Line 227... Line 228...
 
 
 
 
        component shifter is
        component shifter is
        generic (
        generic (
                address_width   : integer := 9;
                address_width   : integer := 9;
                width                   : integer := 12
                width                   : integer       := 32;
 
                even_shifter    : string        := "YES"
        );
        );
        port (
        port (
                data                    : in std_logic_vector(width - 1 downto 0);
                data                    : in std_logic_vector(width - 1 downto 0);
 
                exp                             : out std_logic_vector(integer(ceil(log(real(width),2.0)))-1 downto 0);
                address                 : out std_logic_vector (address_width-1 downto 0);
                address                 : out std_logic_vector (address_width-1 downto 0);
                zero                    : out std_logic;
                zero                    : out std_logic
                maxoneispair    : out std_logic
 
        );
        );
        end component;
        end component;
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.