OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [arithpack.vhd] - Diff between revs 8 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 9
Line 22... Line 22...
                m0f0,m0f1,m1f0m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (17 downto 0);
                m0f0,m0f1,m1f0m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (17 downto 0);
                opcode,addcode : in std_logic
                opcode,addcode : in std_logic
        );
        );
        end component;
        end component;
 
 
        component fastmux
 
        generic ( w : integer := 32 );
 
        port (
 
                s : in std_logic;
 
                mux0,mux1 : in std_logic_vector (w-1 downto 0);
 
                muxS : out std_logic_vector (w-1 downto 0)
 
        );
 
 
 
        component r_a18_b18_smul_c32_r
        component r_a18_b18_smul_c32_r
        port (
        port (
                aclr,clock:in std_logic;
                aclr,clock:in std_logic;
                dataa,datab:in std_logic_vector (17 downto 0);
                dataa,datab:in std_logic_vector (17 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.