OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [cla_logic_block.vhd] - Diff between revs 2 and 16

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 16
Line 1... Line 1...
library ieee;
-- RAYTRAC
use ieee.std_logic_1164.all;
-- Author Julian Andres Guarin
 
-- cla_logic_block.vhd
 
-- This file is part of raytrac.
 
-- 
 
--     raytrac is free software: you can redistribute it and/or modify
 
--     it under the terms of the GNU General Public License as published by
 
--     the Free Software Foundation, either version 3 of the License, or
 
--     (at your option) any later version.
 
-- 
 
--     raytrac is distributed in the hope that it will be useful,
 
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
 
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 
--     GNU General Public License for more details.
 
-- 
 
--     You should have received a copy of the GNU General Public License
 
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.library ieee;
 
 
 
-- Check out arithpack.vhd to understand in general terms what this file describes,
 
-- or checkout this file to check in detailed way what this file intends to.
 
 
 
use ieee.std_logic_1164.all;
entity cla_logic_block is
entity cla_logic_block is
        generic (
        generic (
                w : integer := 4
                w : integer := 4                                                        -- Carry Look Ahead Block Default Size 
        );
        );
 
 
        port (
        port (
                p,g : in std_logic_vector(w-1 downto 0);
                p,g : in std_logic_vector(w-1 downto 0); -- Propagation and Generation Inputs
                cin : in std_logic;
                cin : in std_logic;                                                     -- Carry In input
 
 
                c : out std_logic_vector(w downto 1)
                c : out std_logic_vector(w downto 1)            -- Generated Carry Out outputs
        );
        );
end cla_logic_block;
end cla_logic_block;
 
 
 
 
architecture cla_logic_block_arch of cla_logic_block is
architecture cla_logic_block_arch of cla_logic_block is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.