OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [cla_logic_block.vhd] - Diff between revs 27 and 52

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 27 Rev 52
Line 1... Line 1...
 
 
-- RAYTRAC
-- RAYTRAC
--! @file cla_logic_block.vhd
--! @file cla_logic_block.vhd
--! @author Julian Andres Guarin
--! @author Julian Andres Guarin
--! @brief Bloque de lógica Carry Look Ahead. 
--! @brief Bloque de lógica Carry Look Ahead. 
-- cla_logic_block.vhd
-- cla_logic_block.vhd
-- This file is part of raytrac.
-- This file is part of raytrac.
-- 
-- 
--     raytrac is free software: you can redistribute it and/or modify
--     raytrac is free software: you can redistribute it and/or modify
--     it under the terms of the GNU General Public License as published by
--     it under the terms of the GNU General Public License as published by
Line 24... Line 24...
--! Libreria de definicion de senales y tipos estandares, comportamiento de operadores aritmeticos y logicos.\n Signal and types definition library. This library also defines 
--! Libreria de definicion de senales y tipos estandares, comportamiento de operadores aritmeticos y logicos.\n Signal and types definition library. This library also defines 
library ieee;
library ieee;
--! Paquete de definicion estandard de logica. Standard logic definition pack.
--! Paquete de definicion estandard de logica. Standard logic definition pack.
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
--! Entidad generadora de un bloque de cálculo de carry, carry look ahead.
--! Entidad generadora de un bloque de c´lculo de carry, carry look ahead.
 
 
--! En una suma A+B para cada par de bits Ai, Bi, se genera un carry out Couti, este Couti en un bloque generador de carry Carry Look Ahead, no depende del cálculo de los Carry Out anteriores, Couti-1, Couti-2,...., Cout0. Lo que hace el Carry Look Ahead Logic Block, es calcular en paralelo los valores de toso los Couti, usando las señales de propagación y generación, Pi y Gi, y através de una formula "recurrente". Comparado con el Ripple Carry Adder el Carry Look Ahead Adder, empleará la mitad del tiempo, pero para lograrlo usará muchas elementos lógicos en una FPGA o mas transistores en un procesos de fabricación CMOS. En síntesis se sacrifica un mayor uso de recursos para lograr mayor desempeño.
--! En una suma A+B para cada par de bits Ai, Bi, se genera un carry out Couti, este Couti en un bloque generador de carry Carry Look Ahead, no depende del c´lculo de los Carry Out anteriores, Couti-1, Couti-2,...., Cout0. Lo que hace el Carry Look Ahead Logic Block, es calcular en paralelo los valores de toso los Couti, usando las señales de propagación y generación, Pi y Gi, y através de una formula "recurrente". Comparado con el Ripple Carry Adder el Carry Look Ahead Adder, emplear´ la mitad del tiempo, pero para lograrlo usar´ muchas elementos lógicos en una FPGA o mas transistores en un procesos de fabricación CMOS. En síntesis se sacrifica un mayor uso de recursos para lograr mayor desempeño.
 
 
entity cla_logic_block is
entity cla_logic_block is
        generic (
        generic (
                width : integer := 4                                                    --! Tamaño por defecto de un bloque Carry Look Ahead.  
                width : integer := 4                                                    --! Tamaño por defecto de un bloque Carry Look Ahead.  
        );
        );
 
 
        port (
        port (
                p,g : in std_logic_vector(width-1 downto 0);     --! Señales de Propagación y Generación. 
                p,g : in std_logic_vector(width-1 downto 0);     --! Señales de Propagación y Generación. 
                cin : in std_logic;                                                     --! Señal de Carry de entrada. 
                cin : in std_logic;                                                     --! Señal de Carry de entrada. 
 
 
                c : out std_logic_vector(width downto 1)                --! Carry Out.
                c : out std_logic_vector(width downto 1)                --! Carry Out.
        );
        );
end cla_logic_block;
end cla_logic_block;
 
 
 
 
--! Arquitectura del bloque Carry Look Ahead.
--! Arquitectura del bloque Carry Look Ahead.
 
 
--! El bloque de lógica de Carry Look Ahead, se sintetiza a partir de un código comportamental.
--! El bloque de lógica de Carry Look Ahead, se sintetiza a partir de un código comportamental.
--! Para cada Couti, se instancia una función combinatoria. La complejidad de las funciones combinatorias crece con el número de Couti a calcular.
--! Para cada Couti, se instancia una función combinatoria. La complejidad de las funciones combinatorias crece con el número de Couti a calcular.
--! La siguiente tabla describe el funcionamiento de este circuito.    
--! La siguiente tabla describe el funcionamiento de este circuito.    
 
 
architecture cla_logic_block_arch of cla_logic_block is
architecture cla_logic_block_arch of cla_logic_block is
 
 
begin
begin
        --! El siguiente proceso instancia funciones combinatorias para CADA UNO de los valores de Couti a calcular. En ningun momemnto se utiliza el resultado de los Cout antrerioes a Couti, agilizando el cálculo de las funciones. 
        --! El siguiente proceso instancia funciones combinatorias para CADA UNO de los valores de Couti a calcular. En ningun momemnto se utiliza el resultado de los Cout antrerioes a Couti, agilizando el c´lculo de las funciones. 
 
 
        --! La razón principal para realizar la instanciación de las funciones combinatorias necesarias con un process en vez de un generate, rádica en utilizar un conjunto de variables que afecte unicamente al proceso comportamental descrito y no a la arquitectura entera. 
        --! La razón principal para realizar la instanciación de las funciones combinatorias necesarias con un process en vez de un generate, r´dica en utilizar un conjunto de variables que afecte unicamente al proceso comportamental descrito y no a la arquitectura entera. 
        claProc:        -- claProc instancia funciones combinatorias en las variables iCarry,
        claProc:        -- claProc instancia funciones combinatorias en las variables iCarry,
                                -- pero notese que los valores de iCarry(i) no dependen jamas de iCarry(i-1) a diferencia de rcaProc.
                                -- pero notese que los valores de iCarry(i) no dependen jamas de iCarry(i-1) a diferencia de rcaProc.
        process(p,g,cin)
        process(p,g,cin)
 
 
                variable i,j,k :        integer range 0 to width;                                -- Variables de control de loop
                variable i,j,k :        integer range 0 to width;                                -- Variables de control de loop

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.