URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
[/] [raytrac/] [trunk/] [fastmux.vhd] - Diff between revs 24 and 26
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 24 |
Rev 26 |
Line 23... |
Line 23... |
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
|
|
entity fastmux is
|
entity fastmux is
|
generic (
|
generic (
|
width : integer := 18
|
width : integer := 18
|
)
|
);
|
port (
|
port (
|
a,b:in std_logic_vector(w-1 downto 0);
|
a,b:in std_logic_vector(width-1 downto 0);
|
s:in std_logic;
|
s:in std_logic;
|
c: out std_logic_vector(w-1 downto 0)
|
c: out std_logic_vector(width-1 downto 0)
|
)
|
);
|
end entity;
|
end entity;
|
|
|
architecture fastmux_arch of fastmux is
|
architecture fastmux_arch of fastmux is
|
begin
|
begin
|
|
|
muxgen:
|
muxgen:
|
for i in 0 to w-1 generate
|
for i in 0 to width-1 generate
|
c(i) <= (a(i) and not(s(i))) or (b(i) and s(i));
|
c(i) <= (a(i) and not(s)) or (b(i) and s);
|
end generate muxgen;
|
end generate muxgen;
|
|
|
|
|
|
|
end fastmux_arch;
|
end fastmux_arch;
|
No newline at end of file
|
No newline at end of file
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.