OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [add/] [ema32x3.vhd] - Diff between revs 102 and 104

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 102 Rev 104
Line 288... Line 288...
        --adder:sadd2
        --adder:sadd2
        --port map (s3sma(24)&s3sma,s3smb(24)&s3smb,dpc,s3res);
        --port map (s3sma(24)&s3sma,s3smb(24)&s3smb,dpc,s3res);
        process (s3sma,s3smb,s3smc)
        process (s3sma,s3smb,s3smc)
        begin
        begin
                --! Magia: La suma ocurre aqui
                --! Magia: La suma ocurre aqui
                s3res <= (s3sma(24)&s3sma(24)&s3sma)+(s3smb(24)&s3smb(24)&s3smb)+(s3smc(24)&s3smc(24)&s3smc);
                s3res <= (s3sma(24)&s3sma(24)&s3sma)+(s3smb(24)&s3smb(24)&s3smb);
 
                --! +(s3smc(24)&s3smc(24)&s3smc);
        end process;
        end process;
 
 
        process(s3res)
        process(s3res)
                variable lshift : integer range 24 downto 0;
                variable lshift : integer range 24 downto 0;
        begin
        begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.