URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
[/] [raytrac/] [trunk/] [fpbranch/] [arithpack.vhd] - Diff between revs 9 and 10
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 9 |
Rev 10 |
Line 3... |
Line 3... |
|
|
|
|
|
|
|
|
package arithpack is
|
package arithpack is
|
|
|
constant rstMasterValue : std_logic := '0';
|
constant rstMasterValue : std_logic := '0';
|
|
|
component uf
|
component uf
|
port (
|
port (
|
opcode : in std_logic;
|
opcode : in std_logic;
|
mxfx : in std_logic_vector(12*18-1 downto 0);
|
m0f0,m0f1,m1f0m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : in std_logic_vector(17 downto 0);
|
cpx,cpy,cpz,dp0,dp1 : out std_logic_vector(31 downto 0)
|
cpx,cpy,cpz,dp0,dp1 : out std_logic_vector(31 downto 0)
|
clk,rst : in std_logic
|
clk,rst : in std_logic
|
);
|
);
|
end component;
|
end component;
|
|
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.