OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [arithpack.vhd] - Diff between revs 2 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 8
Line 1... Line 1...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
 
 
 
 
 
 
package arithpack is
package arithpack is
 
        constant rstMasterValue : std_logic := '0';
 
 
 
        component uf
 
        port (
 
                opcode          : in std_logic;
 
                mxfx            : in std_logic_vector(12*18-1 downto 0);
 
                cpx,cpy,cpz,dp0,dp1 : out std_logic_vector(31 downto 0)
 
                clk,rst         : in std_logic
 
        );
 
        end component;
 
 
 
        component opcoder
 
        port (
 
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (17 downto 0);
 
                m0f0,m0f1,m1f0m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (17 downto 0);
 
                opcode,addcode : in std_logic
 
        );
 
        end component;
 
 
        component fastmux
        component fastmux
        generic ( w : integer := 32 );
        generic ( w : integer := 32 );
        port (
        port (
                s : in std_logic;
                s : in std_logic;
                mux0,mux1 : in std_logic_vector (w-1 downto 0);
                mux0,mux1 : in std_logic_vector (w-1 downto 0);
Line 33... Line 55...
                c: out std_logic_vector(w downto 1)
                c: out std_logic_vector(w downto 1)
        );
        );
        end component;
        end component;
        component adder
        component adder
        generic (
        generic (
                w : ingeter := 4;
                w : integer := 4;
                carry_logic := "CLA";
                carry_logic := "CLA";
                subtractor_selector := "YES";
                substractor_selector := "YES";
        );
        );
        port (
        port (
                a,b             :       in std_logic_vector (w-1 downto 0);
                a,b             :       in std_logic_vector (w-1 downto 0);
                s,ci    :       in      std_logic;
                s,ci    :       in      std_logic;
                result  :       out std_logic_vector (w-1 downto 0);
                result  :       out std_logic_vector (w-1 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.