URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 94 |
Rev 96 |
Line 26... |
Line 26... |
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_arith.all;
|
use ieee.std_logic_arith.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_unsigned.all;
|
library lpm;
|
|
use lpm.all;
|
|
|
|
entity mul2 is
|
entity mul2 is
|
port (
|
port (
|
clk : in std_logic;
|
clk : in std_logic;
|
a32,b32 : in std_logic_vector(31 downto 0);
|
a32,b32 : in std_logic_vector(31 downto 0);
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.