OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [unrm/] [shftr.vhd] - Diff between revs 116 and 117

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 116 Rev 117
Line 190... Line 190...
        port    map (s5factorhot9,s5result(24 downto 7),s5ph);
        port    map (s5factorhot9,s5result(24 downto 7),s5ph);
        normlowshiftermult:lpm_mult
        normlowshiftermult:lpm_mult
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,9,18)
        generic map ("DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9","UNSIGNED","LPM_MULT",9,9,18)
        port    map (s5factorhot9,s5result(06 downto 0)&"00",s5pl);
        port    map (s5factorhot9,s5result(06 downto 0)&"00",s5pl);
        s5postshift(22 downto 15) <= s5ph(16 downto 09);
        s5postshift(22 downto 15) <= s5ph(16 downto 09);
        s5postshift(14 downto 06) <= s5ph(08 downto 00) or s5pl(17 downto 9);
        s5postshift(14 downto 06) <= s5ph(08 downto 00); --! Activar este pedazo si se requiere extrema precision            or s5pl(17 downto 9);
        s5postshift(05 downto 00) <= s5pl(08 downto 03);
        s5postshift(05 downto 00) <= s5pl(08 downto 03);
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.