OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [unrm/] [unrm1.vhd] - Diff between revs 106 and 107

Show entire file | Details | Blame | View Log

Rev 106 Rev 107
Line 6... Line 6...
entity unrm1 is
entity unrm1 is
        port (
        port (
                clk,signdelta,signa,signb,zeroa,zerob   : in std_logic;
                clk,signdelta,signa,signb,zeroa,zerob   : in std_logic;
                shiftbin, shiftbout                                             : in std_logic_vector (4 downto 0);
                shiftbin, shiftbout                                             : in std_logic_vector (4 downto 0);
                expbin,expout                                                   : out std_logic_vector(7 downto 0);
                expbin,expout                                                   : out std_logic_vector(7 downto 0);
 
                clk,
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.