OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [opcoder.vhd] - Diff between revs 24 and 25

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 24 Rev 25
Line 32... Line 32...
 
 
--! \n\n   
--! \n\n   
--! Las entradas a esta descripción son: los vectores A,B,C,D, las entradas opcode y addcode. Las salidas del decodificador, estarán conectadas a las entradas de los 6 multiplicadores de una entidad uf. Los operandos de los multiplicadores, también conocidos como factores, son las salida m0f0, m0f1 para el multiplicador 1 y así hasta el multiplicador 5. Básicamente lo que opera aquí en esta descripción es un multiplexor, el cual selecciona a través de opcode y addcode qué componentes de los vectores se conectaran a los operandos de los multiplicadores.  
--! Las entradas a esta descripción son: los vectores A,B,C,D, las entradas opcode y addcode. Las salidas del decodificador, estarán conectadas a las entradas de los 6 multiplicadores de una entidad uf. Los operandos de los multiplicadores, también conocidos como factores, son las salida m0f0, m0f1 para el multiplicador 1 y así hasta el multiplicador 5. Básicamente lo que opera aquí en esta descripción es un multiplexor, el cual selecciona a través de opcode y addcode qué componentes de los vectores se conectaran a los operandos de los multiplicadores.  
entity opcoder is
entity opcoder is
        generic (
        generic (
                fastmux : string:= "NO"
                width : integer := 18;
 
                structuralDescription : string:= "NO"
        )
        )
        port (
        port (
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (17 downto 0);
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (width-1 downto 0);
                m0f0,m0f1,m1f0,m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (17 downto 0);
                m0f0,m0f1,m1f0,m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (width-1 downto 0);
 
 
                opcode,addcode : in std_logic
                opcode,addcode : in std_logic
        );
        );
end entity;
end entity;
 
 
Line 55... Line 56...
--! \n\n
--! \n\n
--! Por ejemplo para ver la tabla de verdad del m0f0, consultar el registro (línea) m0 y el atributo (columna) f0.\n
--! Por ejemplo para ver la tabla de verdad del m0f0, consultar el registro (línea) m0 y el atributo (columna) f0.\n
 
 
architecture opcoder_arch of opcoder is
architecture opcoder_arch of opcoder is
 
 
        signal aycy,bzdz,azcz,bydy,bxdx,axcx: std_logic_vector(17 downto 0);
        signal aycy,bzdz,azcz,bydy,bxdx,axcx: std_logic_vector(width-1 downto 0);
 
 
begin
begin
        --! Proceso que describe las 2 etapas de multiplexores. 
        --! Proceso que describe las 2 etapas de multiplexores. 
        --! Proceso que describe las 2 etapas de multiplexores. Una corresponde al selector addcode, que selecciona con que operadores realizará la operación producto cruz, es decir, seleccionará si realiza la operación AxB ó CxD. En el caso del producto punto, esta etapa de multiplexación no tendrá repercusión en el resultado de la deocdificación de la operación. La otra etapa utiliza el selector opcode, el cual decide si usa los operandos decodificados en la primera etapa de multiplexores, en el caso de que opcode sea 1, seleccionando la operación producto cruz, o por el contrario seleccionando una decodificación de operadores que lleven a cabo la operación producto punto. 
        --! Proceso que describe las 2 etapas de multiplexores. Una corresponde al selector addcode, que selecciona con que operadores realizará la operación producto cruz, es decir, seleccionará si realiza la operación AxB ó CxD. En el caso del producto punto, esta etapa de multiplexación no tendrá repercusión en el resultado de la deocdificación de la operación. La otra etapa utiliza el selector opcode, el cual decide si usa los operandos decodificados en la primera etapa de multiplexores, en el caso de que opcode sea 1, seleccionando la operación producto cruz, o por el contrario seleccionando una decodificación de operadores que lleven a cabo la operación producto punto. 
 
 
        originalMuxGen:
        originalMuxGen:
        if fastmux="NO" generate
        if behavioralDescription="NO" generate
 
 
                procOpcoder:
                procOpcoder:
                process (Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz,opcode,addcode)
                process (Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz,opcode,addcode)
                        variable scoder : std_logic_vector (1 downto 0);
                        variable scoder : std_logic_vector (1 downto 0);
                begin
                begin
                        scoder := opcode & addcode;
                        case (addcode) is
                        case (scoder) is
                                when "1" =>
                                when "10" =>
                                        aycy <= Cy;
                                        m0f0 <= Ay;
                                        bzdz <= Dz;
                                        m0f1 <= Bz;
                                        azcz <= Cz;
                                        m1f0 <= Az;
                                        bydy <= Dy;
                                        m1f1 <= By;
                                        axcx <= Cx;
                                        m2f0 <= Az;
                                        bxdx <= Dx;
                                        m2f1 <= Bx;
                                when others =>
                                        m3f0 <= Ax;
                                        aycy <= Ay;
                                        m3f1 <= Bz;
                                        bzdz <= Bz;
                                        m4f0 <= Ax;
                                        azcz <= Az;
                                        m4f1 <= By;
                                        bydy <= By;
                                        m5f0 <= Ay;
                                        axcx <= Ax;
                                        m5f1 <= Bx;
                                        bxdx <= Bx;
                                when "11" =>
                        end case;
                                        m0f0 <= Cy;
                        case (opcode) is
                                        m0f1 <= Dz;
                                when "1" =>
                                        m1f0 <= Cz;
                                        m0f0 <= aycy;
                                        m1f1 <= Dy;
                                        m0f1 <= bzdz;
                                        m2f0 <= Cz;
                                        m1f0 <= azcz;
                                        m2f1 <= Dx;
                                        m1f1 <= bydy;
                                        m3f0 <= Cx;
                                        m2f0 <= axcx;
                                        m3f1 <= Dz;
                                        m2f1 <= bzdz;
                                        m4f0 <= Cx;
                                        m3f0 <= azcz;
                                        m4f1 <= Dy;
                                        m3f1 <= bxdx;
                                        m5f0 <= Cy;
                                        m4f0 <= axcx;
                                        m5f1 <= Dx;
                                        m4f1 <= bydy;
 
                                        m5f0 <= aycy;
 
                                        m5f1 <= bxdx;
                                when others =>
                                when others =>
                                        m0f0 <= Ax;
                                        m0f0 <= Ax;
                                        m0f1 <= Bx;
                                        m0f1 <= Bx;
                                        m1f0 <= Ay;
                                        m1f0 <= Ay;
                                        m1f1 <= By;
                                        m1f1 <= By;
Line 109... Line 112...
                                        m3f1 <= Dx;
                                        m3f1 <= Dx;
                                        m4f0 <= Cy;
                                        m4f0 <= Cy;
                                        m4f1 <= Dy;
                                        m4f1 <= Dy;
                                        m5f0 <= Cz;
                                        m5f0 <= Cz;
                                        m5f1 <= Dz;
                                        m5f1 <= Dz;
 
 
                        end case;
                        end case;
 
 
 
 
 
 
 
 
 
 
                end process procOpcoder;
                end process procOpcoder;
        end generate originalMuxGen;
        end generate originalMuxGen;
        fastMuxGen:
        fastMuxGen:
        if fastmux="YES" generate
        if structuralDescription="YES" generate
                mux0 : fastmux (ay,cy,addcode,aycy);
                mux0 : fastmux (ay,cy,addcode,aycy);
                mux1 : fastmux (bz,dz,addcode,bzdz);
                mux1 : fastmux (bz,dz,addcode,bzdz);
                mux2 : fastmux (az,cz,addcode,azcz);
                mux2 : fastmux (az,cz,addcode,azcz);
                mux3 : fastmux (by,dy,addcode,bydy);
                mux3 : fastmux (by,dy,addcode,bydy);
                mux4 : fastmux (bx,dx,addcode,bxdx);
                mux4 : fastmux (bx,dx,addcode,bxdx);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.