OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [opcoder.vhd] - Diff between revs 26 and 27

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 26 Rev 27
Line 33... Line 33...
--! \n\n   
--! \n\n   
--! Las entradas a esta descripción son: los vectores A,B,C,D, las entradas opcode y addcode. Las salidas del decodificador, estarán conectadas a las entradas de los 6 multiplicadores de una entidad uf. Los operandos de los multiplicadores, también conocidos como factores, son las salida m0f0, m0f1 para el multiplicador 1 y así hasta el multiplicador 5. Básicamente lo que opera aquí en esta descripción es un multiplexor, el cual selecciona a través de opcode y addcode qué componentes de los vectores se conectaran a los operandos de los multiplicadores.  
--! Las entradas a esta descripción son: los vectores A,B,C,D, las entradas opcode y addcode. Las salidas del decodificador, estarán conectadas a las entradas de los 6 multiplicadores de una entidad uf. Los operandos de los multiplicadores, también conocidos como factores, son las salida m0f0, m0f1 para el multiplicador 1 y así hasta el multiplicador 5. Básicamente lo que opera aquí en esta descripción es un multiplexor, el cual selecciona a través de opcode y addcode qué componentes de los vectores se conectaran a los operandos de los multiplicadores.  
entity opcoder is
entity opcoder is
        generic (
        generic (
                width : integer := 18;
                width : integer := 18;
                structuralDescription : string:= "NO"
                structuralDescription : string:= "YES"
        );
        );
        port (
        port (
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (width-1 downto 0);
                Ax,Bx,Cx,Dx,Ay,By,Cy,Dy,Az,Bz,Cz,Dz : in std_logic_vector (width-1 downto 0);
                m0f0,m0f1,m1f0,m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (width-1 downto 0);
                m0f0,m0f1,m1f0,m1f1,m2f0,m2f1,m3f0,m3f1,m4f0,m4f1,m5f0,m5f1 : out std_logic_vector (width-1 downto 0);
 
 
Line 56... Line 56...
--! \n\n
--! \n\n
--! Por ejemplo para ver la tabla de verdad del m0f0, consultar el registro (línea) m0 y el atributo (columna) f0.\n
--! Por ejemplo para ver la tabla de verdad del m0f0, consultar el registro (línea) m0 y el atributo (columna) f0.\n
 
 
architecture opcoder_arch of opcoder is
architecture opcoder_arch of opcoder is
 
 
        variable aycy,bzdz,azcz,bydy,bxdx,axcx: std_logic_vector(width-1 downto 0);
        signal aycy,bzdz,azcz,bydy,bxdx,axcx: std_logic_vector(width-1 downto 0);
 
 
begin
begin
        --! Proceso que describe las 2 etapas de multiplexores. 
        --! Proceso que describe las 2 etapas de multiplexores. 
        --! Proceso que describe las 2 etapas de multiplexores. Una corresponde al selector addcode, que selecciona con que operadores realizará la operación producto cruz, es decir, seleccionará si realiza la operación AxB ó CxD. En el caso del producto punto, esta etapa de multiplexación no tendrá repercusión en el resultado de la deocdificación de la operación. La otra etapa utiliza el selector opcode, el cual decide si usa los operandos decodificados en la primera etapa de multiplexores, en el caso de que opcode sea 1, seleccionando la operación producto cruz, o por el contrario seleccionando una decodificación de operadores que lleven a cabo la operación producto punto. 
        --! Proceso que describe las 2 etapas de multiplexores. Una corresponde al selector addcode, que selecciona con que operadores realizará la operación producto cruz, es decir, seleccionará si realiza la operación AxB ó CxD. En el caso del producto punto, esta etapa de multiplexación no tendrá repercusión en el resultado de la deocdificación de la operación. La otra etapa utiliza el selector opcode, el cual decide si usa los operandos decodificados en la primera etapa de multiplexores, en el caso de que opcode sea 1, seleccionando la operación producto cruz, o por el contrario seleccionando una decodificación de operadores que lleven a cabo la operación producto punto. 
 
 
Line 120... Line 120...
                        end case;
                        end case;
                end process procOpcoder;
                end process procOpcoder;
        end generate originalMuxGen;
        end generate originalMuxGen;
        fastMuxGen:
        fastMuxGen:
        if structuralDescription="YES" generate
        if structuralDescription="YES" generate
                mux0 : fastmux port map (ay,cy,addcode,aycy);
                mux0 : fastmux generic map (width) port map (ay,cy,addcode,aycy);
                mux1 : fastmux port map (bz,dz,addcode,bzdz);
                mux1 : fastmux generic map (width) port map (bz,dz,addcode,bzdz);
                mux2 : fastmux port map (az,cz,addcode,azcz);
                mux2 : fastmux generic map (width) port map (az,cz,addcode,azcz);
                mux3 : fastmux port map (by,dy,addcode,bydy);
                mux3 : fastmux generic map (width) port map (by,dy,addcode,bydy);
                mux4 : fastmux port map (bx,dx,addcode,bxdx);
                mux4 : fastmux generic map (width) port map (bx,dx,addcode,bxdx);
                mux5 : fastmux port map (ax,cx,addcode,axcx);
                mux5 : fastmux generic map (width) port map (ax,cx,addcode,axcx);
 
 
                -- Segunda etapa de multiplexores 
                -- Segunda etapa de multiplexores 
                muxa : fastmux port map (ax,aycy,opcode,m0f0);
                muxa : fastmux generic map (width) port map (ax,aycy,opcode,m0f0);
                muxb : fastmux port map (bx,bzdz,opcode,m0f1);
                muxb : fastmux generic map (width) port map (bx,bzdz,opcode,m0f1);
                muxc : fastmux port map (ay,azcz,opcode,m1f0);
                muxc : fastmux generic map (width) port map (ay,azcz,opcode,m1f0);
                muxd : fastmux port map (by,bydy,opcode,m1f1);
                muxd : fastmux generic map (width) port map (by,bydy,opcode,m1f1);
                muxe : fastmux port map (az,azcz,opcode,m2f0);
                muxe : fastmux generic map (width) port map (az,azcz,opcode,m2f0);
                muxf : fastmux port map (bz,bxdx,opcode,m2f1);
                muxf : fastmux generic map (width) port map (bz,bxdx,opcode,m2f1);
                muxg : fastmux port map (cx,axcx,opcode,m3f0);
                muxg : fastmux generic map (width) port map (cx,axcx,opcode,m3f0);
                muxh : fastmux port map (dx,bzdz,opcode,m3f1);
                muxh : fastmux generic map (width) port map (dx,bzdz,opcode,m3f1);
                muxi : fastmux port map (cy,axcx,opcode,m4f0);
                muxi : fastmux generic map (width) port map (cy,axcx,opcode,m4f0);
                muxj : fastmux port map (dy,bydy,opcode,m4f1);
                muxj : fastmux generic map (width) port map (dy,bydy,opcode,m4f1);
                muxk : fastmux port map (cz,aycy,opcode,m5f0);
                muxk : fastmux generic map (width) port map (cz,aycy,opcode,m5f0);
                muxl : fastmux port map (dz,bxdx,opcode,m5f1);
                muxl : fastmux generic map (width) port map (dz,bxdx,opcode,m5f1);
 
 
        end generate fastMuxGen;
        end generate fastMuxGen;
 
 
 
 
end opcoder_arch;
end opcoder_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.