OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [opcoder.vhd] - Diff between revs 4 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 5
Line 59... Line 59...
                                m4f1 <= Dy;
                                m4f1 <= Dy;
                                m5f0 <= Cy;
                                m5f0 <= Cy;
                                m5f1 <= Dx;
                                m5f1 <= Dx;
                        when others =>
                        when others =>
                                m0f0 <= ( others => '0');
                                m0f0 <= ( others => '0');
 
                                m0f1 <= ( others => '0');
 
                                m1f0 <= ( others => '0');
 
                                m1f1 <= ( others => '0');
 
                                m2f0 <= ( others => '0');
 
                                m2f1 <= ( others => '0');
 
                                m3f0 <= ( others => '0');
 
                                m3f1 <= ( others => '0');
 
                                m3f0 <= ( others => '0');
 
                                m3f1 <= ( others => '0');
 
                                m4f0 <= ( others => '0');
 
                                m4f1 <= ( others => '0');
                end case;
                end case;
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.