OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [raytrac.vhd] - Diff between revs 14 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 15
Line 22... Line 22...
 
 
 
 
 
 
entity raytrac is
entity raytrac is
        generic (
        generic (
                registered : string := "NO"
                registered : string := "YES"
        );
        );
        port (
        port (
                A,B,C,D                 : in std_logic_vector(18*3-1 downto 0);
                A,B,C,D                 : in std_logic_vector(18*3-1 downto 0);
                opcode,addcode  : in std_logic;
                opcode,addcode  : in std_logic;
                clk,rst,ena             : in std_logic;
                clk,rst,ena             : in std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.