OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [sqrtdiv/] [memsqrt.mif] - Diff between revs 76 and 79

Show entire file | Details | Blame | View Log

Rev 76 Rev 79
Line 6... Line 6...
DATA_RADIX=HEX;
DATA_RADIX=HEX;
CONTENT
CONTENT
BEGIN
BEGIN
 
 
-- epsilon: 0.001953
-- epsilon: 0.001953
 
000 : 20000; -- FIXED => 1 . 0 (1 . 0) FLOAT +1.000000
000 : 00000; -- FIXED => 0 . 0 (0 . 0) FLOAT +0.000000
001 : 2007f; -- FIXED => 1 . 7f (1 . 127) FLOAT +1.000969
001 : 001ff; -- FIXED => 0 . 1ff (0 . 511) FLOAT +0.003899
002 : 200ff; -- FIXED => 1 . ff (1 . 255) FLOAT +1.001945
002 : 003ff; -- FIXED => 0 . 3ff (0 . 1023) FLOAT +0.007805
003 : 2017f; -- FIXED => 1 . 17f (1 . 383) FLOAT +1.002922
003 : 005fd; -- FIXED => 0 . 5fd (0 . 1533) FLOAT +0.011696
004 : 201ff; -- FIXED => 1 . 1ff (1 . 511) FLOAT +1.003899
004 : 007fc; -- FIXED => 0 . 7fc (0 . 2044) FLOAT +0.015594
005 : 2027e; -- FIXED => 1 . 27e (1 . 638) FLOAT +1.004868
005 : 009f9; -- FIXED => 0 . 9f9 (0 . 2553) FLOAT +0.019478
006 : 202fd; -- FIXED => 1 . 2fd (1 . 765) FLOAT +1.005836
006 : 00bf7; -- FIXED => 0 . bf7 (0 . 3063) FLOAT +0.023369
007 : 2037c; -- FIXED => 1 . 37c (1 . 892) FLOAT +1.006805
007 : 00df3; -- FIXED => 0 . df3 (0 . 3571) FLOAT +0.027245
008 : 203fc; -- FIXED => 1 . 3fc (1 . 1020) FLOAT +1.007782
008 : 00ff0; -- FIXED => 0 . ff0 (0 . 4080) FLOAT +0.031128
009 : 2047a; -- FIXED => 1 . 47a (1 . 1146) FLOAT +1.008743
009 : 011eb; -- FIXED => 0 . 11eb (0 . 4587) FLOAT +0.034996
00a : 204f9; -- FIXED => 1 . 4f9 (1 . 1273) FLOAT +1.009712
00a : 013e7; -- FIXED => 0 . 13e7 (0 . 5095) FLOAT +0.038872
00b : 20578; -- FIXED => 1 . 578 (1 . 1400) FLOAT +1.010681
00b : 015e2; -- FIXED => 0 . 15e2 (0 . 5602) FLOAT +0.042740
00c : 205f7; -- FIXED => 1 . 5f7 (1 . 1527) FLOAT +1.011650
00c : 017dc; -- FIXED => 0 . 17dc (0 . 6108) FLOAT +0.046600
00d : 20675; -- FIXED => 1 . 675 (1 . 1653) FLOAT +1.012611
00d : 019d6; -- FIXED => 0 . 19d6 (0 . 6614) FLOAT +0.050461
00e : 206f3; -- FIXED => 1 . 6f3 (1 . 1779) FLOAT +1.013573
00e : 01bcf; -- FIXED => 0 . 1bcf (0 . 7119) FLOAT +0.054314
00f : 20772; -- FIXED => 1 . 772 (1 . 1906) FLOAT +1.014542
00f : 01dc8; -- FIXED => 0 . 1dc8 (0 . 7624) FLOAT +0.058167
010 : 207f0; -- FIXED => 1 . 7f0 (1 . 2032) FLOAT +1.015503
010 : 01fc1; -- FIXED => 0 . 1fc1 (0 . 8129) FLOAT +0.062019
011 : 2086e; -- FIXED => 1 . 86e (1 . 2158) FLOAT +1.016464
011 : 021b8; -- FIXED => 0 . 21b8 (0 . 8632) FLOAT +0.065857
012 : 208ec; -- FIXED => 1 . 8ec (1 . 2284) FLOAT +1.017426
012 : 023b0; -- FIXED => 0 . 23b0 (0 . 9136) FLOAT +0.069702
013 : 20969; -- FIXED => 1 . 969 (1 . 2409) FLOAT +1.018379
013 : 025a7; -- FIXED => 0 . 25a7 (0 . 9639) FLOAT +0.073540
014 : 209e7; -- FIXED => 1 . 9e7 (1 . 2535) FLOAT +1.019341
014 : 0279d; -- FIXED => 0 . 279d (0 . 10141) FLOAT +0.077370
015 : 20a64; -- FIXED => 1 . a64 (1 . 2660) FLOAT +1.020294
015 : 02993; -- FIXED => 0 . 2993 (0 . 10643) FLOAT +0.081200
016 : 20ae2; -- FIXED => 1 . ae2 (1 . 2786) FLOAT +1.021255
016 : 02b89; -- FIXED => 0 . 2b89 (0 . 11145) FLOAT +0.085030
017 : 20b5f; -- FIXED => 1 . b5f (1 . 2911) FLOAT +1.022209
017 : 02d7e; -- FIXED => 0 . 2d7e (0 . 11646) FLOAT +0.088852
018 : 20bdc; -- FIXED => 1 . bdc (1 . 3036) FLOAT +1.023163
018 : 02f73; -- FIXED => 0 . 2f73 (0 . 12147) FLOAT +0.092674
019 : 20c59; -- FIXED => 1 . c59 (1 . 3161) FLOAT +1.024117
019 : 03167; -- FIXED => 0 . 3167 (0 . 12647) FLOAT +0.096489
01a : 20cd6; -- FIXED => 1 . cd6 (1 . 3286) FLOAT +1.025070
01a : 0335b; -- FIXED => 0 . 335b (0 . 13147) FLOAT +0.100304
01b : 20d53; -- FIXED => 1 . d53 (1 . 3411) FLOAT +1.026024
01b : 0354e; -- FIXED => 0 . 354e (0 . 13646) FLOAT +0.104111
01c : 20dd0; -- FIXED => 1 . dd0 (1 . 3536) FLOAT +1.026978
01c : 03741; -- FIXED => 0 . 3741 (0 . 14145) FLOAT +0.107918
01d : 20e4c; -- FIXED => 1 . e4c (1 . 3660) FLOAT +1.027924
01d : 03933; -- FIXED => 0 . 3933 (0 . 14643) FLOAT +0.111717
01e : 20ec9; -- FIXED => 1 . ec9 (1 . 3785) FLOAT +1.028877
01e : 03b25; -- FIXED => 0 . 3b25 (0 . 15141) FLOAT +0.115517
01f : 20f45; -- FIXED => 1 . f45 (1 . 3909) FLOAT +1.029823
01f : 03d16; -- FIXED => 0 . 3d16 (0 . 15638) FLOAT +0.119308
020 : 20fc1; -- FIXED => 1 . fc1 (1 . 4033) FLOAT +1.030769
020 : 03f07; -- FIXED => 0 . 3f07 (0 . 16135) FLOAT +0.123100
021 : 2103e; -- FIXED => 1 . 103e (1 . 4158) FLOAT +1.031723
021 : 040f8; -- FIXED => 0 . 40f8 (0 . 16632) FLOAT +0.126892
022 : 210ba; -- FIXED => 1 . 10ba (1 . 4282) FLOAT +1.032669
022 : 042e8; -- FIXED => 0 . 42e8 (0 . 17128) FLOAT +0.130676
023 : 21135; -- FIXED => 1 . 1135 (1 . 4405) FLOAT +1.033607
023 : 044d7; -- FIXED => 0 . 44d7 (0 . 17623) FLOAT +0.134453
024 : 211b1; -- FIXED => 1 . 11b1 (1 . 4529) FLOAT +1.034554
024 : 046c6; -- FIXED => 0 . 46c6 (0 . 18118) FLOAT +0.138229
025 : 2122d; -- FIXED => 1 . 122d (1 . 4653) FLOAT +1.035500
025 : 048b5; -- FIXED => 0 . 48b5 (0 . 18613) FLOAT +0.142006
026 : 212a8; -- FIXED => 1 . 12a8 (1 . 4776) FLOAT +1.036438
026 : 04aa3; -- FIXED => 0 . 4aa3 (0 . 19107) FLOAT +0.145775
027 : 21324; -- FIXED => 1 . 1324 (1 . 4900) FLOAT +1.037384
027 : 04c91; -- FIXED => 0 . 4c91 (0 . 19601) FLOAT +0.149544
028 : 2139f; -- FIXED => 1 . 139f (1 . 5023) FLOAT +1.038322
028 : 04e7e; -- FIXED => 0 . 4e7e (0 . 20094) FLOAT +0.153305
029 : 2141a; -- FIXED => 1 . 141a (1 . 5146) FLOAT +1.039261
029 : 0506b; -- FIXED => 0 . 506b (0 . 20587) FLOAT +0.157066
02a : 21496; -- FIXED => 1 . 1496 (1 . 5270) FLOAT +1.040207
02a : 05258; -- FIXED => 0 . 5258 (0 . 21080) FLOAT +0.160828
02b : 21511; -- FIXED => 1 . 1511 (1 . 5393) FLOAT +1.041145
02b : 05444; -- FIXED => 0 . 5444 (0 . 21572) FLOAT +0.164581
02c : 2158b; -- FIXED => 1 . 158b (1 . 5515) FLOAT +1.042076
02c : 0562f; -- FIXED => 0 . 562f (0 . 22063) FLOAT +0.168327
02d : 21606; -- FIXED => 1 . 1606 (1 . 5638) FLOAT +1.043015
02d : 0581a; -- FIXED => 0 . 581a (0 . 22554) FLOAT +0.172073
02e : 21681; -- FIXED => 1 . 1681 (1 . 5761) FLOAT +1.043953
02e : 05a05; -- FIXED => 0 . 5a05 (0 . 23045) FLOAT +0.175819
02f : 216fb; -- FIXED => 1 . 16fb (1 . 5883) FLOAT +1.044884
02f : 05bef; -- FIXED => 0 . 5bef (0 . 23535) FLOAT +0.179558
030 : 21776; -- FIXED => 1 . 1776 (1 . 6006) FLOAT +1.045822
030 : 05dd9; -- FIXED => 0 . 5dd9 (0 . 24025) FLOAT +0.183296
031 : 217f0; -- FIXED => 1 . 17f0 (1 . 6128) FLOAT +1.046753
031 : 05fc2; -- FIXED => 0 . 5fc2 (0 . 24514) FLOAT +0.187027
032 : 2186a; -- FIXED => 1 . 186a (1 . 6250) FLOAT +1.047684
032 : 061ab; -- FIXED => 0 . 61ab (0 . 25003) FLOAT +0.190758
033 : 218e5; -- FIXED => 1 . 18e5 (1 . 6373) FLOAT +1.048622
033 : 06394; -- FIXED => 0 . 6394 (0 . 25492) FLOAT +0.194489
034 : 2195f; -- FIXED => 1 . 195f (1 . 6495) FLOAT +1.049553
034 : 0657c; -- FIXED => 0 . 657c (0 . 25980) FLOAT +0.198212
035 : 219d8; -- FIXED => 1 . 19d8 (1 . 6616) FLOAT +1.050476
035 : 06763; -- FIXED => 0 . 6763 (0 . 26467) FLOAT +0.201927
036 : 21a52; -- FIXED => 1 . 1a52 (1 . 6738) FLOAT +1.051407
036 : 0694b; -- FIXED => 0 . 694b (0 . 26955) FLOAT +0.205650
037 : 21acc; -- FIXED => 1 . 1acc (1 . 6860) FLOAT +1.052338
037 : 06b31; -- FIXED => 0 . 6b31 (0 . 27441) FLOAT +0.209358
038 : 21b46; -- FIXED => 1 . 1b46 (1 . 6982) FLOAT +1.053268
038 : 06d18; -- FIXED => 0 . 6d18 (0 . 27928) FLOAT +0.213074
039 : 21bbf; -- FIXED => 1 . 1bbf (1 . 7103) FLOAT +1.054192
039 : 06efe; -- FIXED => 0 . 6efe (0 . 28414) FLOAT +0.216782
03a : 21c38; -- FIXED => 1 . 1c38 (1 . 7224) FLOAT +1.055115
03a : 070e3; -- FIXED => 0 . 70e3 (0 . 28899) FLOAT +0.220482
03b : 21cb2; -- FIXED => 1 . 1cb2 (1 . 7346) FLOAT +1.056046
03b : 072c8; -- FIXED => 0 . 72c8 (0 . 29384) FLOAT +0.224182
03c : 21d2b; -- FIXED => 1 . 1d2b (1 . 7467) FLOAT +1.056969
03c : 074ad; -- FIXED => 0 . 74ad (0 . 29869) FLOAT +0.227882
03d : 21da4; -- FIXED => 1 . 1da4 (1 . 7588) FLOAT +1.057892
03d : 07691; -- FIXED => 0 . 7691 (0 . 30353) FLOAT +0.231575
03e : 21e1d; -- FIXED => 1 . 1e1d (1 . 7709) FLOAT +1.058815
03e : 07875; -- FIXED => 0 . 7875 (0 . 30837) FLOAT +0.235268
03f : 21e96; -- FIXED => 1 . 1e96 (1 . 7830) FLOAT +1.059738
03f : 07a58; -- FIXED => 0 . 7a58 (0 . 31320) FLOAT +0.238953
040 : 21f0e; -- FIXED => 1 . 1f0e (1 . 7950) FLOAT +1.060654
040 : 07c3b; -- FIXED => 0 . 7c3b (0 . 31803) FLOAT +0.242638
041 : 21f87; -- FIXED => 1 . 1f87 (1 . 8071) FLOAT +1.061577
041 : 07e1d; -- FIXED => 0 . 7e1d (0 . 32285) FLOAT +0.246315
042 : 22000; -- FIXED => 1 . 2000 (1 . 8192) FLOAT +1.062500
042 : 08000; -- FIXED => 0 . 8000 (0 . 32768) FLOAT +0.250000
043 : 22078; -- FIXED => 1 . 2078 (1 . 8312) FLOAT +1.063416
043 : 081e1; -- FIXED => 0 . 81e1 (0 . 33249) FLOAT +0.253670
044 : 220f0; -- FIXED => 1 . 20f0 (1 . 8432) FLOAT +1.064331
044 : 083c2; -- FIXED => 0 . 83c2 (0 . 33730) FLOAT +0.257339
045 : 22168; -- FIXED => 1 . 2168 (1 . 8552) FLOAT +1.065247
045 : 085a3; -- FIXED => 0 . 85a3 (0 . 34211) FLOAT +0.261009
046 : 221e1; -- FIXED => 1 . 21e1 (1 . 8673) FLOAT +1.066170
046 : 08784; -- FIXED => 0 . 8784 (0 . 34692) FLOAT +0.264679
047 : 22259; -- FIXED => 1 . 2259 (1 . 8793) FLOAT +1.067085
047 : 08964; -- FIXED => 0 . 8964 (0 . 35172) FLOAT +0.268341
048 : 222d0; -- FIXED => 1 . 22d0 (1 . 8912) FLOAT +1.067993
048 : 08b43; -- FIXED => 0 . 8b43 (0 . 35651) FLOAT +0.271996
049 : 22348; -- FIXED => 1 . 2348 (1 . 9032) FLOAT +1.068909
049 : 08d23; -- FIXED => 0 . 8d23 (0 . 36131) FLOAT +0.275658
04a : 223c0; -- FIXED => 1 . 23c0 (1 . 9152) FLOAT +1.069824
04a : 08f01; -- FIXED => 0 . 8f01 (0 . 36609) FLOAT +0.279305
04b : 22438; -- FIXED => 1 . 2438 (1 . 9272) FLOAT +1.070740
04b : 090e0; -- FIXED => 0 . 90e0 (0 . 37088) FLOAT +0.282959
04c : 224af; -- FIXED => 1 . 24af (1 . 9391) FLOAT +1.071648
04c : 092be; -- FIXED => 0 . 92be (0 . 37566) FLOAT +0.286606
04d : 22526; -- FIXED => 1 . 2526 (1 . 9510) FLOAT +1.072556
04d : 0949b; -- FIXED => 0 . 949b (0 . 38043) FLOAT +0.290245
04e : 2259e; -- FIXED => 1 . 259e (1 . 9630) FLOAT +1.073471
04e : 09678; -- FIXED => 0 . 9678 (0 . 38520) FLOAT +0.293884
04f : 22615; -- FIXED => 1 . 2615 (1 . 9749) FLOAT +1.074379
04f : 09855; -- FIXED => 0 . 9855 (0 . 38997) FLOAT +0.297523
050 : 2268c; -- FIXED => 1 . 268c (1 . 9868) FLOAT +1.075287
050 : 09a32; -- FIXED => 0 . 9a32 (0 . 39474) FLOAT +0.301163
051 : 22703; -- FIXED => 1 . 2703 (1 . 9987) FLOAT +1.076195
051 : 09c0d; -- FIXED => 0 . 9c0d (0 . 39949) FLOAT +0.304787
052 : 2277a; -- FIXED => 1 . 277a (1 . 10106) FLOAT +1.077103
052 : 09de9; -- FIXED => 0 . 9de9 (0 . 40425) FLOAT +0.308418
053 : 227f1; -- FIXED => 1 . 27f1 (1 . 10225) FLOAT +1.078011
053 : 09fc4; -- FIXED => 0 . 9fc4 (0 . 40900) FLOAT +0.312042
054 : 22867; -- FIXED => 1 . 2867 (1 . 10343) FLOAT +1.078911
054 : 0a19f; -- FIXED => 0 . a19f (0 . 41375) FLOAT +0.315666
055 : 228de; -- FIXED => 1 . 28de (1 . 10462) FLOAT +1.079819
055 : 0a379; -- FIXED => 0 . a379 (0 . 41849) FLOAT +0.319283
056 : 22954; -- FIXED => 1 . 2954 (1 . 10580) FLOAT +1.080719
056 : 0a553; -- FIXED => 0 . a553 (0 . 42323) FLOAT +0.322899
057 : 229cb; -- FIXED => 1 . 29cb (1 . 10699) FLOAT +1.081627
057 : 0a72d; -- FIXED => 0 . a72d (0 . 42797) FLOAT +0.326515
058 : 22a41; -- FIXED => 1 . 2a41 (1 . 10817) FLOAT +1.082527
058 : 0a906; -- FIXED => 0 . a906 (0 . 43270) FLOAT +0.330124
059 : 22ab7; -- FIXED => 1 . 2ab7 (1 . 10935) FLOAT +1.083427
059 : 0aadf; -- FIXED => 0 . aadf (0 . 43743) FLOAT +0.333733
05a : 22b2d; -- FIXED => 1 . 2b2d (1 . 11053) FLOAT +1.084328
05a : 0acb7; -- FIXED => 0 . acb7 (0 . 44215) FLOAT +0.337334
05b : 22ba3; -- FIXED => 1 . 2ba3 (1 . 11171) FLOAT +1.085228
05b : 0ae8f; -- FIXED => 0 . ae8f (0 . 44687) FLOAT +0.340935
05c : 22c19; -- FIXED => 1 . 2c19 (1 . 11289) FLOAT +1.086128
05c : 0b067; -- FIXED => 0 . b067 (0 . 45159) FLOAT +0.344536
05d : 22c8f; -- FIXED => 1 . 2c8f (1 . 11407) FLOAT +1.087029
05d : 0b23e; -- FIXED => 0 . b23e (0 . 45630) FLOAT +0.348129
05e : 22d05; -- FIXED => 1 . 2d05 (1 . 11525) FLOAT +1.087929
05e : 0b415; -- FIXED => 0 . b415 (0 . 46101) FLOAT +0.351723
05f : 22d7a; -- FIXED => 1 . 2d7a (1 . 11642) FLOAT +1.088821
05f : 0b5eb; -- FIXED => 0 . b5eb (0 . 46571) FLOAT +0.355309
060 : 22df0; -- FIXED => 1 . 2df0 (1 . 11760) FLOAT +1.089722
060 : 0b7c1; -- FIXED => 0 . b7c1 (0 . 47041) FLOAT +0.358894
061 : 22e65; -- FIXED => 1 . 2e65 (1 . 11877) FLOAT +1.090614
061 : 0b997; -- FIXED => 0 . b997 (0 . 47511) FLOAT +0.362480
062 : 22edb; -- FIXED => 1 . 2edb (1 . 11995) FLOAT +1.091515
062 : 0bb6c; -- FIXED => 0 . bb6c (0 . 47980) FLOAT +0.366058
063 : 22f50; -- FIXED => 1 . 2f50 (1 . 12112) FLOAT +1.092407
063 : 0bd41; -- FIXED => 0 . bd41 (0 . 48449) FLOAT +0.369637
064 : 22fc5; -- FIXED => 1 . 2fc5 (1 . 12229) FLOAT +1.093300
064 : 0bf15; -- FIXED => 0 . bf15 (0 . 48917) FLOAT +0.373207
065 : 2303a; -- FIXED => 1 . 303a (1 . 12346) FLOAT +1.094193
065 : 0c0ea; -- FIXED => 0 . c0ea (0 . 49386) FLOAT +0.376785
066 : 230af; -- FIXED => 1 . 30af (1 . 12463) FLOAT +1.095085
066 : 0c2bd; -- FIXED => 0 . c2bd (0 . 49853) FLOAT +0.380348
067 : 23124; -- FIXED => 1 . 3124 (1 . 12580) FLOAT +1.095978
067 : 0c491; -- FIXED => 0 . c491 (0 . 50321) FLOAT +0.383919
068 : 23199; -- FIXED => 1 . 3199 (1 . 12697) FLOAT +1.096870
068 : 0c664; -- FIXED => 0 . c664 (0 . 50788) FLOAT +0.387482
069 : 2320d; -- FIXED => 1 . 320d (1 . 12813) FLOAT +1.097755
069 : 0c836; -- FIXED => 0 . c836 (0 . 51254) FLOAT +0.391037
06a : 23282; -- FIXED => 1 . 3282 (1 . 12930) FLOAT +1.098648
06a : 0ca08; -- FIXED => 0 . ca08 (0 . 51720) FLOAT +0.394592
06b : 232f6; -- FIXED => 1 . 32f6 (1 . 13046) FLOAT +1.099533
06b : 0cbda; -- FIXED => 0 . cbda (0 . 52186) FLOAT +0.398148
06c : 2336b; -- FIXED => 1 . 336b (1 . 13163) FLOAT +1.100426
06c : 0cdac; -- FIXED => 0 . cdac (0 . 52652) FLOAT +0.401703
06d : 233df; -- FIXED => 1 . 33df (1 . 13279) FLOAT +1.101311
06d : 0cf7d; -- FIXED => 0 . cf7d (0 . 53117) FLOAT +0.405251
06e : 23453; -- FIXED => 1 . 3453 (1 . 13395) FLOAT +1.102196
06e : 0d14e; -- FIXED => 0 . d14e (0 . 53582) FLOAT +0.408798
06f : 234c7; -- FIXED => 1 . 34c7 (1 . 13511) FLOAT +1.103081
06f : 0d31e; -- FIXED => 0 . d31e (0 . 54046) FLOAT +0.412338
070 : 2353b; -- FIXED => 1 . 353b (1 . 13627) FLOAT +1.103966
070 : 0d4ee; -- FIXED => 0 . d4ee (0 . 54510) FLOAT +0.415878
071 : 235af; -- FIXED => 1 . 35af (1 . 13743) FLOAT +1.104851
071 : 0d6bd; -- FIXED => 0 . d6bd (0 . 54973) FLOAT +0.419411
072 : 23623; -- FIXED => 1 . 3623 (1 . 13859) FLOAT +1.105736
072 : 0d88d; -- FIXED => 0 . d88d (0 . 55437) FLOAT +0.422951
073 : 23696; -- FIXED => 1 . 3696 (1 . 13974) FLOAT +1.106613
073 : 0da5b; -- FIXED => 0 . da5b (0 . 55899) FLOAT +0.426476
074 : 2370a; -- FIXED => 1 . 370a (1 . 14090) FLOAT +1.107498
074 : 0dc2a; -- FIXED => 0 . dc2a (0 . 56362) FLOAT +0.430008
075 : 2377e; -- FIXED => 1 . 377e (1 . 14206) FLOAT +1.108383
075 : 0ddf8; -- FIXED => 0 . ddf8 (0 . 56824) FLOAT +0.433533
076 : 237f1; -- FIXED => 1 . 37f1 (1 . 14321) FLOAT +1.109261
076 : 0dfc6; -- FIXED => 0 . dfc6 (0 . 57286) FLOAT +0.437057
077 : 23864; -- FIXED => 1 . 3864 (1 . 14436) FLOAT +1.110138
077 : 0e193; -- FIXED => 0 . e193 (0 . 57747) FLOAT +0.440575
078 : 238d8; -- FIXED => 1 . 38d8 (1 . 14552) FLOAT +1.111023
078 : 0e360; -- FIXED => 0 . e360 (0 . 58208) FLOAT +0.444092
079 : 2394b; -- FIXED => 1 . 394b (1 . 14667) FLOAT +1.111900
079 : 0e52d; -- FIXED => 0 . e52d (0 . 58669) FLOAT +0.447609
07a : 239be; -- FIXED => 1 . 39be (1 . 14782) FLOAT +1.112778
07a : 0e6f9; -- FIXED => 0 . e6f9 (0 . 59129) FLOAT +0.451118
07b : 23a31; -- FIXED => 1 . 3a31 (1 . 14897) FLOAT +1.113655
07b : 0e8c5; -- FIXED => 0 . e8c5 (0 . 59589) FLOAT +0.454628
07c : 23aa4; -- FIXED => 1 . 3aa4 (1 . 15012) FLOAT +1.114532
07c : 0ea91; -- FIXED => 0 . ea91 (0 . 60049) FLOAT +0.458138
07d : 23b17; -- FIXED => 1 . 3b17 (1 . 15127) FLOAT +1.115410
07d : 0ec5c; -- FIXED => 0 . ec5c (0 . 60508) FLOAT +0.461639
07e : 23b89; -- FIXED => 1 . 3b89 (1 . 15241) FLOAT +1.116280
07e : 0ee27; -- FIXED => 0 . ee27 (0 . 60967) FLOAT +0.465141
07f : 23bfc; -- FIXED => 1 . 3bfc (1 . 15356) FLOAT +1.117157
07f : 0eff1; -- FIXED => 0 . eff1 (0 . 61425) FLOAT +0.468636
080 : 23c6e; -- FIXED => 1 . 3c6e (1 . 15470) FLOAT +1.118027
080 : 0f1bb; -- FIXED => 0 . f1bb (0 . 61883) FLOAT +0.472130
081 : 23ce1; -- FIXED => 1 . 3ce1 (1 . 15585) FLOAT +1.118904
081 : 0f385; -- FIXED => 0 . f385 (0 . 62341) FLOAT +0.475624
082 : 23d53; -- FIXED => 1 . 3d53 (1 . 15699) FLOAT +1.119774
082 : 0f54f; -- FIXED => 0 . f54f (0 . 62799) FLOAT +0.479118
083 : 23dc6; -- FIXED => 1 . 3dc6 (1 . 15814) FLOAT +1.120651
083 : 0f718; -- FIXED => 0 . f718 (0 . 63256) FLOAT +0.482605
084 : 23e38; -- FIXED => 1 . 3e38 (1 . 15928) FLOAT +1.121521
084 : 0f8e0; -- FIXED => 0 . f8e0 (0 . 63712) FLOAT +0.486084
085 : 23eaa; -- FIXED => 1 . 3eaa (1 . 16042) FLOAT +1.122391
085 : 0faa9; -- FIXED => 0 . faa9 (0 . 64169) FLOAT +0.489571
086 : 23f1c; -- FIXED => 1 . 3f1c (1 . 16156) FLOAT +1.123260
086 : 0fc71; -- FIXED => 0 . fc71 (0 . 64625) FLOAT +0.493050
087 : 23f8e; -- FIXED => 1 . 3f8e (1 . 16270) FLOAT +1.124130
087 : 0fe38; -- FIXED => 0 . fe38 (0 . 65080) FLOAT +0.496521
088 : 24000; -- FIXED => 1 . 4000 (1 . 16384) FLOAT +1.125000
088 : 10000; -- FIXED => 0 . 10000 (0 . 65536) FLOAT +0.500000
089 : 24071; -- FIXED => 1 . 4071 (1 . 16497) FLOAT +1.125862
089 : 101c6; -- FIXED => 0 . 101c6 (0 . 65990) FLOAT +0.503464
08a : 240e3; -- FIXED => 1 . 40e3 (1 . 16611) FLOAT +1.126732
08a : 1038d; -- FIXED => 0 . 1038d (0 . 66445) FLOAT +0.506935
08b : 24154; -- FIXED => 1 . 4154 (1 . 16724) FLOAT +1.127594
08b : 10553; -- FIXED => 0 . 10553 (0 . 66899) FLOAT +0.510399
08c : 241c6; -- FIXED => 1 . 41c6 (1 . 16838) FLOAT +1.128464
08c : 10719; -- FIXED => 0 . 10719 (0 . 67353) FLOAT +0.513863
08d : 24237; -- FIXED => 1 . 4237 (1 . 16951) FLOAT +1.129326
08d : 108df; -- FIXED => 0 . 108df (0 . 67807) FLOAT +0.517326
08e : 242a9; -- FIXED => 1 . 42a9 (1 . 17065) FLOAT +1.130196
08e : 10aa4; -- FIXED => 0 . 10aa4 (0 . 68260) FLOAT +0.520782
08f : 2431a; -- FIXED => 1 . 431a (1 . 17178) FLOAT +1.131058
08f : 10c69; -- FIXED => 0 . 10c69 (0 . 68713) FLOAT +0.524239
090 : 2438b; -- FIXED => 1 . 438b (1 . 17291) FLOAT +1.131920
090 : 10e2d; -- FIXED => 0 . 10e2d (0 . 69165) FLOAT +0.527687
091 : 243fc; -- FIXED => 1 . 43fc (1 . 17404) FLOAT +1.132782
091 : 10ff1; -- FIXED => 0 . 10ff1 (0 . 69617) FLOAT +0.531136
092 : 2446d; -- FIXED => 1 . 446d (1 . 17517) FLOAT +1.133644
092 : 111b5; -- FIXED => 0 . 111b5 (0 . 70069) FLOAT +0.534584
093 : 244de; -- FIXED => 1 . 44de (1 . 17630) FLOAT +1.134506
093 : 11379; -- FIXED => 0 . 11379 (0 . 70521) FLOAT +0.538033
094 : 2454f; -- FIXED => 1 . 454f (1 . 17743) FLOAT +1.135368
094 : 1153c; -- FIXED => 0 . 1153c (0 . 70972) FLOAT +0.541473
095 : 245bf; -- FIXED => 1 . 45bf (1 . 17855) FLOAT +1.136223
095 : 116ff; -- FIXED => 0 . 116ff (0 . 71423) FLOAT +0.544914
096 : 24630; -- FIXED => 1 . 4630 (1 . 17968) FLOAT +1.137085
096 : 118c1; -- FIXED => 0 . 118c1 (0 . 71873) FLOAT +0.548347
097 : 246a0; -- FIXED => 1 . 46a0 (1 . 18080) FLOAT +1.137939
097 : 11a83; -- FIXED => 0 . 11a83 (0 . 72323) FLOAT +0.551781
098 : 24711; -- FIXED => 1 . 4711 (1 . 18193) FLOAT +1.138802
098 : 11c45; -- FIXED => 0 . 11c45 (0 . 72773) FLOAT +0.555214
099 : 24781; -- FIXED => 1 . 4781 (1 . 18305) FLOAT +1.139656
099 : 11e06; -- FIXED => 0 . 11e06 (0 . 73222) FLOAT +0.558640
09a : 247f1; -- FIXED => 1 . 47f1 (1 . 18417) FLOAT +1.140511
09a : 11fc7; -- FIXED => 0 . 11fc7 (0 . 73671) FLOAT +0.562065
09b : 24862; -- FIXED => 1 . 4862 (1 . 18530) FLOAT +1.141373
09b : 12188; -- FIXED => 0 . 12188 (0 . 74120) FLOAT +0.565491
09c : 248d2; -- FIXED => 1 . 48d2 (1 . 18642) FLOAT +1.142227
09c : 12349; -- FIXED => 0 . 12349 (0 . 74569) FLOAT +0.568916
09d : 24942; -- FIXED => 1 . 4942 (1 . 18754) FLOAT +1.143082
09d : 12509; -- FIXED => 0 . 12509 (0 . 75017) FLOAT +0.572334
09e : 249b2; -- FIXED => 1 . 49b2 (1 . 18866) FLOAT +1.143936
09e : 126c8; -- FIXED => 0 . 126c8 (0 . 75464) FLOAT +0.575745
09f : 24a22; -- FIXED => 1 . 4a22 (1 . 18978) FLOAT +1.144791
09f : 12888; -- FIXED => 0 . 12888 (0 . 75912) FLOAT +0.579163
0a0 : 24a91; -- FIXED => 1 . 4a91 (1 . 19089) FLOAT +1.145638
0a0 : 12a47; -- FIXED => 0 . 12a47 (0 . 76359) FLOAT +0.582573
0a1 : 24b01; -- FIXED => 1 . 4b01 (1 . 19201) FLOAT +1.146492
0a1 : 12c06; -- FIXED => 0 . 12c06 (0 . 76806) FLOAT +0.585983
0a2 : 24b71; -- FIXED => 1 . 4b71 (1 . 19313) FLOAT +1.147346
0a2 : 12dc4; -- FIXED => 0 . 12dc4 (0 . 77252) FLOAT +0.589386
0a3 : 24be0; -- FIXED => 1 . 4be0 (1 . 19424) FLOAT +1.148193
0a3 : 12f82; -- FIXED => 0 . 12f82 (0 . 77698) FLOAT +0.592789
0a4 : 24c50; -- FIXED => 1 . 4c50 (1 . 19536) FLOAT +1.149048
0a4 : 13140; -- FIXED => 0 . 13140 (0 . 78144) FLOAT +0.596191
0a5 : 24cbf; -- FIXED => 1 . 4cbf (1 . 19647) FLOAT +1.149895
0a5 : 132fd; -- FIXED => 0 . 132fd (0 . 78589) FLOAT +0.599586
0a6 : 24d2e; -- FIXED => 1 . 4d2e (1 . 19758) FLOAT +1.150742
0a6 : 134ba; -- FIXED => 0 . 134ba (0 . 79034) FLOAT +0.602982
0a7 : 24d9d; -- FIXED => 1 . 4d9d (1 . 19869) FLOAT +1.151588
0a7 : 13677; -- FIXED => 0 . 13677 (0 . 79479) FLOAT +0.606377
0a8 : 24e0d; -- FIXED => 1 . 4e0d (1 . 19981) FLOAT +1.152443
0a8 : 13834; -- FIXED => 0 . 13834 (0 . 79924) FLOAT +0.609772
0a9 : 24e7c; -- FIXED => 1 . 4e7c (1 . 20092) FLOAT +1.153290
0a9 : 139f0; -- FIXED => 0 . 139f0 (0 . 80368) FLOAT +0.613159
0aa : 24eea; -- FIXED => 1 . 4eea (1 . 20202) FLOAT +1.154129
0aa : 13bab; -- FIXED => 0 . 13bab (0 . 80811) FLOAT +0.616539
0ab : 24f59; -- FIXED => 1 . 4f59 (1 . 20313) FLOAT +1.154976
0ab : 13d67; -- FIXED => 0 . 13d67 (0 . 81255) FLOAT +0.619926
0ac : 24fc8; -- FIXED => 1 . 4fc8 (1 . 20424) FLOAT +1.155823
0ac : 13f22; -- FIXED => 0 . 13f22 (0 . 81698) FLOAT +0.623306
0ad : 25037; -- FIXED => 1 . 5037 (1 . 20535) FLOAT +1.156670
0ad : 140dd; -- FIXED => 0 . 140dd (0 . 82141) FLOAT +0.626686
0ae : 250a5; -- FIXED => 1 . 50a5 (1 . 20645) FLOAT +1.157509
0ae : 14297; -- FIXED => 0 . 14297 (0 . 82583) FLOAT +0.630058
0af : 25114; -- FIXED => 1 . 5114 (1 . 20756) FLOAT +1.158356
0af : 14452; -- FIXED => 0 . 14452 (0 . 83026) FLOAT +0.633438
0b0 : 25182; -- FIXED => 1 . 5182 (1 . 20866) FLOAT +1.159195
0b0 : 1460b; -- FIXED => 0 . 1460b (0 . 83467) FLOAT +0.636803
0b1 : 251f1; -- FIXED => 1 . 51f1 (1 . 20977) FLOAT +1.160042
0b1 : 147c5; -- FIXED => 0 . 147c5 (0 . 83909) FLOAT +0.640175
0b2 : 2525f; -- FIXED => 1 . 525f (1 . 21087) FLOAT +1.160881
0b2 : 1497e; -- FIXED => 0 . 1497e (0 . 84350) FLOAT +0.643539
0b3 : 252cd; -- FIXED => 1 . 52cd (1 . 21197) FLOAT +1.161720
0b3 : 14b37; -- FIXED => 0 . 14b37 (0 . 84791) FLOAT +0.646904
0b4 : 2533c; -- FIXED => 1 . 533c (1 . 21308) FLOAT +1.162567
0b4 : 14cf0; -- FIXED => 0 . 14cf0 (0 . 85232) FLOAT +0.650269
0b5 : 253aa; -- FIXED => 1 . 53aa (1 . 21418) FLOAT +1.163406
0b5 : 14ea8; -- FIXED => 0 . 14ea8 (0 . 85672) FLOAT +0.653625
0b6 : 25418; -- FIXED => 1 . 5418 (1 . 21528) FLOAT +1.164246
0b6 : 15060; -- FIXED => 0 . 15060 (0 . 86112) FLOAT +0.656982
0b7 : 25485; -- FIXED => 1 . 5485 (1 . 21637) FLOAT +1.165077
0b7 : 15217; -- FIXED => 0 . 15217 (0 . 86551) FLOAT +0.660332
0b8 : 254f3; -- FIXED => 1 . 54f3 (1 . 21747) FLOAT +1.165916
0b8 : 153cf; -- FIXED => 0 . 153cf (0 . 86991) FLOAT +0.663689
0b9 : 25561; -- FIXED => 1 . 5561 (1 . 21857) FLOAT +1.166756
0b9 : 15586; -- FIXED => 0 . 15586 (0 . 87430) FLOAT +0.667038
0ba : 255cf; -- FIXED => 1 . 55cf (1 . 21967) FLOAT +1.167595
0ba : 1573c; -- FIXED => 0 . 1573c (0 . 87868) FLOAT +0.670380
0bb : 2563c; -- FIXED => 1 . 563c (1 . 22076) FLOAT +1.168427
0bb : 158f3; -- FIXED => 0 . 158f3 (0 . 88307) FLOAT +0.673729
0bc : 256aa; -- FIXED => 1 . 56aa (1 . 22186) FLOAT +1.169266
0bc : 15aa9; -- FIXED => 0 . 15aa9 (0 . 88745) FLOAT +0.677071
0bd : 25717; -- FIXED => 1 . 5717 (1 . 22295) FLOAT +1.170097
0bd : 15c5e; -- FIXED => 0 . 15c5e (0 . 89182) FLOAT +0.680405
0be : 25785; -- FIXED => 1 . 5785 (1 . 22405) FLOAT +1.170937
0be : 15e14; -- FIXED => 0 . 15e14 (0 . 89620) FLOAT +0.683746
0bf : 257f2; -- FIXED => 1 . 57f2 (1 . 22514) FLOAT +1.171768
0bf : 15fc9; -- FIXED => 0 . 15fc9 (0 . 90057) FLOAT +0.687080
0c0 : 2585f; -- FIXED => 1 . 585f (1 . 22623) FLOAT +1.172600
0c0 : 1617e; -- FIXED => 0 . 1617e (0 . 90494) FLOAT +0.690414
0c1 : 258cc; -- FIXED => 1 . 58cc (1 . 22732) FLOAT +1.173431
0c1 : 16332; -- FIXED => 0 . 16332 (0 . 90930) FLOAT +0.693741
0c2 : 25939; -- FIXED => 1 . 5939 (1 . 22841) FLOAT +1.174263
0c2 : 164e6; -- FIXED => 0 . 164e6 (0 . 91366) FLOAT +0.697067
0c3 : 259a6; -- FIXED => 1 . 59a6 (1 . 22950) FLOAT +1.175095
0c3 : 1669a; -- FIXED => 0 . 1669a (0 . 91802) FLOAT +0.700394
0c4 : 25a13; -- FIXED => 1 . 5a13 (1 . 23059) FLOAT +1.175926
0c4 : 1684e; -- FIXED => 0 . 1684e (0 . 92238) FLOAT +0.703720
0c5 : 25a80; -- FIXED => 1 . 5a80 (1 . 23168) FLOAT +1.176758
0c5 : 16a01; -- FIXED => 0 . 16a01 (0 . 92673) FLOAT +0.707039
0c6 : 25aed; -- FIXED => 1 . 5aed (1 . 23277) FLOAT +1.177589
0c6 : 16bb4; -- FIXED => 0 . 16bb4 (0 . 93108) FLOAT +0.710358
0c7 : 25b59; -- FIXED => 1 . 5b59 (1 . 23385) FLOAT +1.178413
0c7 : 16d67; -- FIXED => 0 . 16d67 (0 . 93543) FLOAT +0.713676
0c8 : 25bc6; -- FIXED => 1 . 5bc6 (1 . 23494) FLOAT +1.179245
0c8 : 16f19; -- FIXED => 0 . 16f19 (0 . 93977) FLOAT +0.716988
0c9 : 25c32; -- FIXED => 1 . 5c32 (1 . 23602) FLOAT +1.180069
0c9 : 170cb; -- FIXED => 0 . 170cb (0 . 94411) FLOAT +0.720299
0ca : 25c9f; -- FIXED => 1 . 5c9f (1 . 23711) FLOAT +1.180901
0ca : 1727d; -- FIXED => 0 . 1727d (0 . 94845) FLOAT +0.723610
0cb : 25d0b; -- FIXED => 1 . 5d0b (1 . 23819) FLOAT +1.181725
0cb : 1742e; -- FIXED => 0 . 1742e (0 . 95278) FLOAT +0.726913
0cc : 25d77; -- FIXED => 1 . 5d77 (1 . 23927) FLOAT +1.182549
0cc : 175df; -- FIXED => 0 . 175df (0 . 95711) FLOAT +0.730217
0cd : 25de4; -- FIXED => 1 . 5de4 (1 . 24036) FLOAT +1.183380
0cd : 17790; -- FIXED => 0 . 17790 (0 . 96144) FLOAT +0.733521
0ce : 25e50; -- FIXED => 1 . 5e50 (1 . 24144) FLOAT +1.184204
0ce : 17941; -- FIXED => 0 . 17941 (0 . 96577) FLOAT +0.736824
0cf : 25ebc; -- FIXED => 1 . 5ebc (1 . 24252) FLOAT +1.185028
0cf : 17af1; -- FIXED => 0 . 17af1 (0 . 97009) FLOAT +0.740120
0d0 : 25f28; -- FIXED => 1 . 5f28 (1 . 24360) FLOAT +1.185852
0d0 : 17ca1; -- FIXED => 0 . 17ca1 (0 . 97441) FLOAT +0.743416
0d1 : 25f94; -- FIXED => 1 . 5f94 (1 . 24468) FLOAT +1.186676
0d1 : 17e50; -- FIXED => 0 . 17e50 (0 . 97872) FLOAT +0.746704
0d2 : 26000; -- FIXED => 1 . 6000 (1 . 24576) FLOAT +1.187500
0d2 : 18000; -- FIXED => 0 . 18000 (0 . 98304) FLOAT +0.750000
0d3 : 2606b; -- FIXED => 1 . 606b (1 . 24683) FLOAT +1.188316
0d3 : 181af; -- FIXED => 0 . 181af (0 . 98735) FLOAT +0.753288
0d4 : 260d7; -- FIXED => 1 . 60d7 (1 . 24791) FLOAT +1.189140
0d4 : 1835d; -- FIXED => 0 . 1835d (0 . 99165) FLOAT +0.756569
0d5 : 26143; -- FIXED => 1 . 6143 (1 . 24899) FLOAT +1.189964
0d5 : 1850c; -- FIXED => 0 . 1850c (0 . 99596) FLOAT +0.759857
0d6 : 261ae; -- FIXED => 1 . 61ae (1 . 25006) FLOAT +1.190781
0d6 : 186ba; -- FIXED => 0 . 186ba (0 . 100026) FLOAT +0.763138
0d7 : 2621a; -- FIXED => 1 . 621a (1 . 25114) FLOAT +1.191605
0d7 : 18868; -- FIXED => 0 . 18868 (0 . 100456) FLOAT +0.766418
0d8 : 26285; -- FIXED => 1 . 6285 (1 . 25221) FLOAT +1.192421
0d8 : 18a15; -- FIXED => 0 . 18a15 (0 . 100885) FLOAT +0.769691
0d9 : 262f0; -- FIXED => 1 . 62f0 (1 . 25328) FLOAT +1.193237
0d9 : 18bc2; -- FIXED => 0 . 18bc2 (0 . 101314) FLOAT +0.772964
0da : 2635b; -- FIXED => 1 . 635b (1 . 25435) FLOAT +1.194054
0da : 18d6f; -- FIXED => 0 . 18d6f (0 . 101743) FLOAT +0.776237
0db : 263c7; -- FIXED => 1 . 63c7 (1 . 25543) FLOAT +1.194878
0db : 18f1c; -- FIXED => 0 . 18f1c (0 . 102172) FLOAT +0.779510
0dc : 26432; -- FIXED => 1 . 6432 (1 . 25650) FLOAT +1.195694
0dc : 190c8; -- FIXED => 0 . 190c8 (0 . 102600) FLOAT +0.782776
0dd : 2649d; -- FIXED => 1 . 649d (1 . 25757) FLOAT +1.196510
0dd : 19274; -- FIXED => 0 . 19274 (0 . 103028) FLOAT +0.786041
0de : 26508; -- FIXED => 1 . 6508 (1 . 25864) FLOAT +1.197327
0de : 19420; -- FIXED => 0 . 19420 (0 . 103456) FLOAT +0.789307
0df : 26573; -- FIXED => 1 . 6573 (1 . 25971) FLOAT +1.198143
0df : 195cc; -- FIXED => 0 . 195cc (0 . 103884) FLOAT +0.792572
0e0 : 265dd; -- FIXED => 1 . 65dd (1 . 26077) FLOAT +1.198952
0e0 : 19777; -- FIXED => 0 . 19777 (0 . 104311) FLOAT +0.795830
0e1 : 26648; -- FIXED => 1 . 6648 (1 . 26184) FLOAT +1.199768
0e1 : 19922; -- FIXED => 0 . 19922 (0 . 104738) FLOAT +0.799088
0e2 : 266b3; -- FIXED => 1 . 66b3 (1 . 26291) FLOAT +1.200584
0e2 : 19acc; -- FIXED => 0 . 19acc (0 . 105164) FLOAT +0.802338
0e3 : 2671d; -- FIXED => 1 . 671d (1 . 26397) FLOAT +1.201393
0e3 : 19c77; -- FIXED => 0 . 19c77 (0 . 105591) FLOAT +0.805595
0e4 : 26788; -- FIXED => 1 . 6788 (1 . 26504) FLOAT +1.202209
0e4 : 19e21; -- FIXED => 0 . 19e21 (0 . 106017) FLOAT +0.808846
0e5 : 267f2; -- FIXED => 1 . 67f2 (1 . 26610) FLOAT +1.203018
0e5 : 19fca; -- FIXED => 0 . 19fca (0 . 106442) FLOAT +0.812088
0e6 : 2685d; -- FIXED => 1 . 685d (1 . 26717) FLOAT +1.203835
0e6 : 1a174; -- FIXED => 0 . 1a174 (0 . 106868) FLOAT +0.815338
0e7 : 268c7; -- FIXED => 1 . 68c7 (1 . 26823) FLOAT +1.204643
0e7 : 1a31d; -- FIXED => 0 . 1a31d (0 . 107293) FLOAT +0.818581
0e8 : 26931; -- FIXED => 1 . 6931 (1 . 26929) FLOAT +1.205452
0e8 : 1a4c6; -- FIXED => 0 . 1a4c6 (0 . 107718) FLOAT +0.821823
0e9 : 2699b; -- FIXED => 1 . 699b (1 . 27035) FLOAT +1.206261
0e9 : 1a66e; -- FIXED => 0 . 1a66e (0 . 108142) FLOAT +0.825058
0ea : 26a05; -- FIXED => 1 . 6a05 (1 . 27141) FLOAT +1.207069
0ea : 1a817; -- FIXED => 0 . 1a817 (0 . 108567) FLOAT +0.828300
0eb : 26a6f; -- FIXED => 1 . 6a6f (1 . 27247) FLOAT +1.207878
0eb : 1a9bf; -- FIXED => 0 . 1a9bf (0 . 108991) FLOAT +0.831535
0ec : 26ad9; -- FIXED => 1 . 6ad9 (1 . 27353) FLOAT +1.208687
0ec : 1ab66; -- FIXED => 0 . 1ab66 (0 . 109414) FLOAT +0.834763
0ed : 26b43; -- FIXED => 1 . 6b43 (1 . 27459) FLOAT +1.209496
0ed : 1ad0e; -- FIXED => 0 . 1ad0e (0 . 109838) FLOAT +0.837997
0ee : 26bad; -- FIXED => 1 . 6bad (1 . 27565) FLOAT +1.210304
0ee : 1aeb5; -- FIXED => 0 . 1aeb5 (0 . 110261) FLOAT +0.841225
0ef : 26c17; -- FIXED => 1 . 6c17 (1 . 27671) FLOAT +1.211113
0ef : 1b05c; -- FIXED => 0 . 1b05c (0 . 110684) FLOAT +0.844452
0f0 : 26c80; -- FIXED => 1 . 6c80 (1 . 27776) FLOAT +1.211914
0f0 : 1b203; -- FIXED => 0 . 1b203 (0 . 111107) FLOAT +0.847679
0f1 : 26cea; -- FIXED => 1 . 6cea (1 . 27882) FLOAT +1.212723
0f1 : 1b3a9; -- FIXED => 0 . 1b3a9 (0 . 111529) FLOAT +0.850899
0f2 : 26d53; -- FIXED => 1 . 6d53 (1 . 27987) FLOAT +1.213524
0f2 : 1b54f; -- FIXED => 0 . 1b54f (0 . 111951) FLOAT +0.854118
0f3 : 26dbd; -- FIXED => 1 . 6dbd (1 . 28093) FLOAT +1.214333
0f3 : 1b6f5; -- FIXED => 0 . 1b6f5 (0 . 112373) FLOAT +0.857338
0f4 : 26e26; -- FIXED => 1 . 6e26 (1 . 28198) FLOAT +1.215134
0f4 : 1b89a; -- FIXED => 0 . 1b89a (0 . 112794) FLOAT +0.860550
0f5 : 26e8f; -- FIXED => 1 . 6e8f (1 . 28303) FLOAT +1.215935
0f5 : 1ba3f; -- FIXED => 0 . 1ba3f (0 . 113215) FLOAT +0.863762
0f6 : 26ef9; -- FIXED => 1 . 6ef9 (1 . 28409) FLOAT +1.216743
0f6 : 1bbe4; -- FIXED => 0 . 1bbe4 (0 . 113636) FLOAT +0.866974
0f7 : 26f62; -- FIXED => 1 . 6f62 (1 . 28514) FLOAT +1.217545
0f7 : 1bd89; -- FIXED => 0 . 1bd89 (0 . 114057) FLOAT +0.870186
0f8 : 26fcb; -- FIXED => 1 . 6fcb (1 . 28619) FLOAT +1.218346
0f8 : 1bf2d; -- FIXED => 0 . 1bf2d (0 . 114477) FLOAT +0.873390
0f9 : 27034; -- FIXED => 1 . 7034 (1 . 28724) FLOAT +1.219147
0f9 : 1c0d2; -- FIXED => 0 . 1c0d2 (0 . 114898) FLOAT +0.876602
0fa : 2709d; -- FIXED => 1 . 709d (1 . 28829) FLOAT +1.219948
0fa : 1c275; -- FIXED => 0 . 1c275 (0 . 115317) FLOAT +0.879799
0fb : 27106; -- FIXED => 1 . 7106 (1 . 28934) FLOAT +1.220749
0fb : 1c419; -- FIXED => 0 . 1c419 (0 . 115737) FLOAT +0.883003
0fc : 2716f; -- FIXED => 1 . 716f (1 . 29039) FLOAT +1.221550
0fc : 1c5bc; -- FIXED => 0 . 1c5bc (0 . 116156) FLOAT +0.886200
0fd : 271d7; -- FIXED => 1 . 71d7 (1 . 29143) FLOAT +1.222343
0fd : 1c75f; -- FIXED => 0 . 1c75f (0 . 116575) FLOAT +0.889397
0fe : 27240; -- FIXED => 1 . 7240 (1 . 29248) FLOAT +1.223145
0fe : 1c902; -- FIXED => 0 . 1c902 (0 . 116994) FLOAT +0.892593
0ff : 272a9; -- FIXED => 1 . 72a9 (1 . 29353) FLOAT +1.223946
0ff : 1caa4; -- FIXED => 0 . 1caa4 (0 . 117412) FLOAT +0.895782
100 : 27311; -- FIXED => 1 . 7311 (1 . 29457) FLOAT +1.224739
100 : 1cc47; -- FIXED => 0 . 1cc47 (0 . 117831) FLOAT +0.898979
101 : 2737a; -- FIXED => 1 . 737a (1 . 29562) FLOAT +1.225540
101 : 1cde8; -- FIXED => 0 . 1cde8 (0 . 118248) FLOAT +0.902161
102 : 273e2; -- FIXED => 1 . 73e2 (1 . 29666) FLOAT +1.226334
102 : 1cf8a; -- FIXED => 0 . 1cf8a (0 . 118666) FLOAT +0.905350
103 : 2744a; -- FIXED => 1 . 744a (1 . 29770) FLOAT +1.227127
103 : 1d12b; -- FIXED => 0 . 1d12b (0 . 119083) FLOAT +0.908531
104 : 274b3; -- FIXED => 1 . 74b3 (1 . 29875) FLOAT +1.227928
104 : 1d2cd; -- FIXED => 0 . 1d2cd (0 . 119501) FLOAT +0.911720
105 : 2751b; -- FIXED => 1 . 751b (1 . 29979) FLOAT +1.228722
105 : 1d46d; -- FIXED => 0 . 1d46d (0 . 119917) FLOAT +0.914894
106 : 27583; -- FIXED => 1 . 7583 (1 . 30083) FLOAT +1.229515
106 : 1d60e; -- FIXED => 0 . 1d60e (0 . 120334) FLOAT +0.918076
107 : 275eb; -- FIXED => 1 . 75eb (1 . 30187) FLOAT +1.230309
107 : 1d7ae; -- FIXED => 0 . 1d7ae (0 . 120750) FLOAT +0.921249
108 : 27653; -- FIXED => 1 . 7653 (1 . 30291) FLOAT +1.231102
108 : 1d94e; -- FIXED => 0 . 1d94e (0 . 121166) FLOAT +0.924423
109 : 276bb; -- FIXED => 1 . 76bb (1 . 30395) FLOAT +1.231895
109 : 1daee; -- FIXED => 0 . 1daee (0 . 121582) FLOAT +0.927597
10a : 27723; -- FIXED => 1 . 7723 (1 . 30499) FLOAT +1.232689
10a : 1dc8e; -- FIXED => 0 . 1dc8e (0 . 121998) FLOAT +0.930771
10b : 2778b; -- FIXED => 1 . 778b (1 . 30603) FLOAT +1.233482
10b : 1de2d; -- FIXED => 0 . 1de2d (0 . 122413) FLOAT +0.933937
10c : 277f3; -- FIXED => 1 . 77f3 (1 . 30707) FLOAT +1.234276
10c : 1dfcc; -- FIXED => 0 . 1dfcc (0 . 122828) FLOAT +0.937103
10d : 2785a; -- FIXED => 1 . 785a (1 . 30810) FLOAT +1.235062
10d : 1e16a; -- FIXED => 0 . 1e16a (0 . 123242) FLOAT +0.940262
10e : 278c2; -- FIXED => 1 . 78c2 (1 . 30914) FLOAT +1.235855
10e : 1e309; -- FIXED => 0 . 1e309 (0 . 123657) FLOAT +0.943428
10f : 27929; -- FIXED => 1 . 7929 (1 . 31017) FLOAT +1.236641
10f : 1e4a7; -- FIXED => 0 . 1e4a7 (0 . 124071) FLOAT +0.946587
110 : 27991; -- FIXED => 1 . 7991 (1 . 31121) FLOAT +1.237434
110 : 1e645; -- FIXED => 0 . 1e645 (0 . 124485) FLOAT +0.949745
111 : 279f8; -- FIXED => 1 . 79f8 (1 . 31224) FLOAT +1.238220
111 : 1e7e2; -- FIXED => 0 . 1e7e2 (0 . 124898) FLOAT +0.952896
112 : 27a60; -- FIXED => 1 . 7a60 (1 . 31328) FLOAT +1.239014
112 : 1e980; -- FIXED => 0 . 1e980 (0 . 125312) FLOAT +0.956055
113 : 27ac7; -- FIXED => 1 . 7ac7 (1 . 31431) FLOAT +1.239799
113 : 1eb1d; -- FIXED => 0 . 1eb1d (0 . 125725) FLOAT +0.959206
114 : 27b2e; -- FIXED => 1 . 7b2e (1 . 31534) FLOAT +1.240585
114 : 1ecba; -- FIXED => 0 . 1ecba (0 . 126138) FLOAT +0.962357
115 : 27b95; -- FIXED => 1 . 7b95 (1 . 31637) FLOAT +1.241371
115 : 1ee56; -- FIXED => 0 . 1ee56 (0 . 126550) FLOAT +0.965500
116 : 27bfc; -- FIXED => 1 . 7bfc (1 . 31740) FLOAT +1.242157
116 : 1eff3; -- FIXED => 0 . 1eff3 (0 . 126963) FLOAT +0.968651
117 : 27c63; -- FIXED => 1 . 7c63 (1 . 31843) FLOAT +1.242943
117 : 1f18f; -- FIXED => 0 . 1f18f (0 . 127375) FLOAT +0.971794
118 : 27cca; -- FIXED => 1 . 7cca (1 . 31946) FLOAT +1.243729
118 : 1f32a; -- FIXED => 0 . 1f32a (0 . 127786) FLOAT +0.974930
119 : 27d31; -- FIXED => 1 . 7d31 (1 . 32049) FLOAT +1.244514
119 : 1f4c6; -- FIXED => 0 . 1f4c6 (0 . 128198) FLOAT +0.978073
11a : 27d98; -- FIXED => 1 . 7d98 (1 . 32152) FLOAT +1.245300
11a : 1f661; -- FIXED => 0 . 1f661 (0 . 128609) FLOAT +0.981209
11b : 27dff; -- FIXED => 1 . 7dff (1 . 32255) FLOAT +1.246086
11b : 1f7fc; -- FIXED => 0 . 1f7fc (0 . 129020) FLOAT +0.984344
11c : 27e65; -- FIXED => 1 . 7e65 (1 . 32357) FLOAT +1.246864
11c : 1f997; -- FIXED => 0 . 1f997 (0 . 129431) FLOAT +0.987480
11d : 27ecc; -- FIXED => 1 . 7ecc (1 . 32460) FLOAT +1.247650
11d : 1fb32; -- FIXED => 0 . 1fb32 (0 . 129842) FLOAT +0.990616
11e : 27f33; -- FIXED => 1 . 7f33 (1 . 32563) FLOAT +1.248436
11e : 1fccc; -- FIXED => 0 . 1fccc (0 . 130252) FLOAT +0.993744
11f : 27f99; -- FIXED => 1 . 7f99 (1 . 32665) FLOAT +1.249214
11f : 1fe66; -- FIXED => 0 . 1fe66 (0 . 130662) FLOAT +0.996872
120 : 28000; -- FIXED => 1 . 8000 (1 . 32768) FLOAT +1.250000
120 : 20000; -- FIXED => 1 . 0 (1 . 0) FLOAT +1.000000
121 : 28066; -- FIXED => 1 . 8066 (1 . 32870) FLOAT +1.250778
121 : 20199; -- FIXED => 1 . 199 (1 . 409) FLOAT +1.003120
122 : 280cc; -- FIXED => 1 . 80cc (1 . 32972) FLOAT +1.251556
122 : 20332; -- FIXED => 1 . 332 (1 . 818) FLOAT +1.006241
123 : 28132; -- FIXED => 1 . 8132 (1 . 33074) FLOAT +1.252335
123 : 204cb; -- FIXED => 1 . 4cb (1 . 1227) FLOAT +1.009361
124 : 28199; -- FIXED => 1 . 8199 (1 . 33177) FLOAT +1.253120
124 : 20664; -- FIXED => 1 . 664 (1 . 1636) FLOAT +1.012482
125 : 281ff; -- FIXED => 1 . 81ff (1 . 33279) FLOAT +1.253899
125 : 207fc; -- FIXED => 1 . 7fc (1 . 2044) FLOAT +1.015594
126 : 28265; -- FIXED => 1 . 8265 (1 . 33381) FLOAT +1.254677
126 : 20995; -- FIXED => 1 . 995 (1 . 2453) FLOAT +1.018715
127 : 282cb; -- FIXED => 1 . 82cb (1 . 33483) FLOAT +1.255455
127 : 20b2c; -- FIXED => 1 . b2c (1 . 2860) FLOAT +1.021820
128 : 28331; -- FIXED => 1 . 8331 (1 . 33585) FLOAT +1.256233
128 : 20cc4; -- FIXED => 1 . cc4 (1 . 3268) FLOAT +1.024933
129 : 28397; -- FIXED => 1 . 8397 (1 . 33687) FLOAT +1.257011
129 : 20e5c; -- FIXED => 1 . e5c (1 . 3676) FLOAT +1.028046
12a : 283fc; -- FIXED => 1 . 83fc (1 . 33788) FLOAT +1.257782
12a : 20ff3; -- FIXED => 1 . ff3 (1 . 4083) FLOAT +1.031151
12b : 28462; -- FIXED => 1 . 8462 (1 . 33890) FLOAT +1.258560
12b : 2118a; -- FIXED => 1 . 118a (1 . 4490) FLOAT +1.034256
12c : 284c8; -- FIXED => 1 . 84c8 (1 . 33992) FLOAT +1.259338
12c : 21320; -- FIXED => 1 . 1320 (1 . 4896) FLOAT +1.037354
12d : 2852d; -- FIXED => 1 . 852d (1 . 34093) FLOAT +1.260109
12d : 214b7; -- FIXED => 1 . 14b7 (1 . 5303) FLOAT +1.040459
12e : 28593; -- FIXED => 1 . 8593 (1 . 34195) FLOAT +1.260887
12e : 2164d; -- FIXED => 1 . 164d (1 . 5709) FLOAT +1.043556
12f : 285f8; -- FIXED => 1 . 85f8 (1 . 34296) FLOAT +1.261658
12f : 217e3; -- FIXED => 1 . 17e3 (1 . 6115) FLOAT +1.046654
130 : 2865e; -- FIXED => 1 . 865e (1 . 34398) FLOAT +1.262436
130 : 21979; -- FIXED => 1 . 1979 (1 . 6521) FLOAT +1.049751
131 : 286c3; -- FIXED => 1 . 86c3 (1 . 34499) FLOAT +1.263206
131 : 21b0e; -- FIXED => 1 . 1b0e (1 . 6926) FLOAT +1.052841
132 : 28728; -- FIXED => 1 . 8728 (1 . 34600) FLOAT +1.263977
132 : 21ca3; -- FIXED => 1 . 1ca3 (1 . 7331) FLOAT +1.055931
133 : 2878e; -- FIXED => 1 . 878e (1 . 34702) FLOAT +1.264755
133 : 21e38; -- FIXED => 1 . 1e38 (1 . 7736) FLOAT +1.059021
134 : 287f3; -- FIXED => 1 . 87f3 (1 . 34803) FLOAT +1.265526
134 : 21fcd; -- FIXED => 1 . 1fcd (1 . 8141) FLOAT +1.062111
135 : 28858; -- FIXED => 1 . 8858 (1 . 34904) FLOAT +1.266296
135 : 22161; -- FIXED => 1 . 2161 (1 . 8545) FLOAT +1.065193
136 : 288bd; -- FIXED => 1 . 88bd (1 . 35005) FLOAT +1.267067
136 : 222f6; -- FIXED => 1 . 22f6 (1 . 8950) FLOAT +1.068283
137 : 28922; -- FIXED => 1 . 8922 (1 . 35106) FLOAT +1.267838
137 : 2248a; -- FIXED => 1 . 248a (1 . 9354) FLOAT +1.071365
138 : 28987; -- FIXED => 1 . 8987 (1 . 35207) FLOAT +1.268608
138 : 2261d; -- FIXED => 1 . 261d (1 . 9757) FLOAT +1.074440
139 : 289ec; -- FIXED => 1 . 89ec (1 . 35308) FLOAT +1.269379
139 : 227b1; -- FIXED => 1 . 27b1 (1 . 10161) FLOAT +1.077522
13a : 28a51; -- FIXED => 1 . 8a51 (1 . 35409) FLOAT +1.270149
13a : 22944; -- FIXED => 1 . 2944 (1 . 10564) FLOAT +1.080597
13b : 28ab5; -- FIXED => 1 . 8ab5 (1 . 35509) FLOAT +1.270912
13b : 22ad7; -- FIXED => 1 . 2ad7 (1 . 10967) FLOAT +1.083672
13c : 28b1a; -- FIXED => 1 . 8b1a (1 . 35610) FLOAT +1.271683
13c : 22c6a; -- FIXED => 1 . 2c6a (1 . 11370) FLOAT +1.086746
13d : 28b7f; -- FIXED => 1 . 8b7f (1 . 35711) FLOAT +1.272453
13d : 22dfc; -- FIXED => 1 . 2dfc (1 . 11772) FLOAT +1.089813
13e : 28be3; -- FIXED => 1 . 8be3 (1 . 35811) FLOAT +1.273216
13e : 22f8e; -- FIXED => 1 . 2f8e (1 . 12174) FLOAT +1.092880
13f : 28c48; -- FIXED => 1 . 8c48 (1 . 35912) FLOAT +1.273987
13f : 23120; -- FIXED => 1 . 3120 (1 . 12576) FLOAT +1.095947
140 : 28cac; -- FIXED => 1 . 8cac (1 . 36012) FLOAT +1.274750
140 : 232b2; -- FIXED => 1 . 32b2 (1 . 12978) FLOAT +1.099014
141 : 28d11; -- FIXED => 1 . 8d11 (1 . 36113) FLOAT +1.275520
141 : 23444; -- FIXED => 1 . 3444 (1 . 13380) FLOAT +1.102081
142 : 28d75; -- FIXED => 1 . 8d75 (1 . 36213) FLOAT +1.276283
142 : 235d5; -- FIXED => 1 . 35d5 (1 . 13781) FLOAT +1.105141
143 : 28dd9; -- FIXED => 1 . 8dd9 (1 . 36313) FLOAT +1.277046
143 : 23766; -- FIXED => 1 . 3766 (1 . 14182) FLOAT +1.108200
144 : 28e3d; -- FIXED => 1 . 8e3d (1 . 36413) FLOAT +1.277809
144 : 238f7; -- FIXED => 1 . 38f7 (1 . 14583) FLOAT +1.111259
145 : 28ea1; -- FIXED => 1 . 8ea1 (1 . 36513) FLOAT +1.278572
145 : 23a87; -- FIXED => 1 . 3a87 (1 . 14983) FLOAT +1.114311
146 : 28f06; -- FIXED => 1 . 8f06 (1 . 36614) FLOAT +1.279343
146 : 23c18; -- FIXED => 1 . 3c18 (1 . 15384) FLOAT +1.117371
147 : 28f6a; -- FIXED => 1 . 8f6a (1 . 36714) FLOAT +1.280106
147 : 23da8; -- FIXED => 1 . 3da8 (1 . 15784) FLOAT +1.120422
148 : 28fce; -- FIXED => 1 . 8fce (1 . 36814) FLOAT +1.280869
148 : 23f38; -- FIXED => 1 . 3f38 (1 . 16184) FLOAT +1.123474
149 : 29031; -- FIXED => 1 . 9031 (1 . 36913) FLOAT +1.281624
149 : 240c7; -- FIXED => 1 . 40c7 (1 . 16583) FLOAT +1.126518
14a : 29095; -- FIXED => 1 . 9095 (1 . 37013) FLOAT +1.282387
14a : 24257; -- FIXED => 1 . 4257 (1 . 16983) FLOAT +1.129570
14b : 290f9; -- FIXED => 1 . 90f9 (1 . 37113) FLOAT +1.283150
14b : 243e6; -- FIXED => 1 . 43e6 (1 . 17382) FLOAT +1.132614
14c : 2915d; -- FIXED => 1 . 915d (1 . 37213) FLOAT +1.283913
14c : 24575; -- FIXED => 1 . 4575 (1 . 17781) FLOAT +1.135658
14d : 291c0; -- FIXED => 1 . 91c0 (1 . 37312) FLOAT +1.284668
14d : 24703; -- FIXED => 1 . 4703 (1 . 18179) FLOAT +1.138695
14e : 29224; -- FIXED => 1 . 9224 (1 . 37412) FLOAT +1.285431
14e : 24892; -- FIXED => 1 . 4892 (1 . 18578) FLOAT +1.141739
14f : 29288; -- FIXED => 1 . 9288 (1 . 37512) FLOAT +1.286194
14f : 24a20; -- FIXED => 1 . 4a20 (1 . 18976) FLOAT +1.144775
150 : 292eb; -- FIXED => 1 . 92eb (1 . 37611) FLOAT +1.286949
150 : 24bae; -- FIXED => 1 . 4bae (1 . 19374) FLOAT +1.147812
151 : 2934f; -- FIXED => 1 . 934f (1 . 37711) FLOAT +1.287712
151 : 24d3c; -- FIXED => 1 . 4d3c (1 . 19772) FLOAT +1.150848
152 : 293b2; -- FIXED => 1 . 93b2 (1 . 37810) FLOAT +1.288467
152 : 24ec9; -- FIXED => 1 . 4ec9 (1 . 20169) FLOAT +1.153877
153 : 29415; -- FIXED => 1 . 9415 (1 . 37909) FLOAT +1.289223
153 : 25056; -- FIXED => 1 . 5056 (1 . 20566) FLOAT +1.156906
154 : 29478; -- FIXED => 1 . 9478 (1 . 38008) FLOAT +1.289978
154 : 251e3; -- FIXED => 1 . 51e3 (1 . 20963) FLOAT +1.159935
155 : 294dc; -- FIXED => 1 . 94dc (1 . 38108) FLOAT +1.290741
155 : 25370; -- FIXED => 1 . 5370 (1 . 21360) FLOAT +1.162964
156 : 2953f; -- FIXED => 1 . 953f (1 . 38207) FLOAT +1.291496
156 : 254fd; -- FIXED => 1 . 54fd (1 . 21757) FLOAT +1.165993
157 : 295a2; -- FIXED => 1 . 95a2 (1 . 38306) FLOAT +1.292252
157 : 25689; -- FIXED => 1 . 5689 (1 . 22153) FLOAT +1.169014
158 : 29605; -- FIXED => 1 . 9605 (1 . 38405) FLOAT +1.293007
158 : 25815; -- FIXED => 1 . 5815 (1 . 22549) FLOAT +1.172035
159 : 29668; -- FIXED => 1 . 9668 (1 . 38504) FLOAT +1.293762
159 : 259a1; -- FIXED => 1 . 59a1 (1 . 22945) FLOAT +1.175056
15a : 296cb; -- FIXED => 1 . 96cb (1 . 38603) FLOAT +1.294518
15a : 25b2d; -- FIXED => 1 . 5b2d (1 . 23341) FLOAT +1.178078
15b : 2972e; -- FIXED => 1 . 972e (1 . 38702) FLOAT +1.295273
15b : 25cb8; -- FIXED => 1 . 5cb8 (1 . 23736) FLOAT +1.181091
15c : 29790; -- FIXED => 1 . 9790 (1 . 38800) FLOAT +1.296021
15c : 25e43; -- FIXED => 1 . 5e43 (1 . 24131) FLOAT +1.184105
15d : 297f3; -- FIXED => 1 . 97f3 (1 . 38899) FLOAT +1.296776
15d : 25fce; -- FIXED => 1 . 5fce (1 . 24526) FLOAT +1.187119
15e : 29856; -- FIXED => 1 . 9856 (1 . 38998) FLOAT +1.297531
15e : 26159; -- FIXED => 1 . 6159 (1 . 24921) FLOAT +1.190132
15f : 298b8; -- FIXED => 1 . 98b8 (1 . 39096) FLOAT +1.298279
15f : 262e3; -- FIXED => 1 . 62e3 (1 . 25315) FLOAT +1.193138
160 : 2991b; -- FIXED => 1 . 991b (1 . 39195) FLOAT +1.299034
160 : 2646e; -- FIXED => 1 . 646e (1 . 25710) FLOAT +1.196152
161 : 2997e; -- FIXED => 1 . 997e (1 . 39294) FLOAT +1.299789
161 : 265f8; -- FIXED => 1 . 65f8 (1 . 26104) FLOAT +1.199158
162 : 299e0; -- FIXED => 1 . 99e0 (1 . 39392) FLOAT +1.300537
162 : 26781; -- FIXED => 1 . 6781 (1 . 26497) FLOAT +1.202156
163 : 29a42; -- FIXED => 1 . 9a42 (1 . 39490) FLOAT +1.301285
163 : 2690b; -- FIXED => 1 . 690b (1 . 26891) FLOAT +1.205162
164 : 29aa5; -- FIXED => 1 . 9aa5 (1 . 39589) FLOAT +1.302040
164 : 26a94; -- FIXED => 1 . 6a94 (1 . 27284) FLOAT +1.208160
165 : 29b07; -- FIXED => 1 . 9b07 (1 . 39687) FLOAT +1.302788
165 : 26c1d; -- FIXED => 1 . 6c1d (1 . 27677) FLOAT +1.211159
166 : 29b69; -- FIXED => 1 . 9b69 (1 . 39785) FLOAT +1.303535
166 : 26da6; -- FIXED => 1 . 6da6 (1 . 28070) FLOAT +1.214157
167 : 29bcb; -- FIXED => 1 . 9bcb (1 . 39883) FLOAT +1.304283
167 : 26f2f; -- FIXED => 1 . 6f2f (1 . 28463) FLOAT +1.217155
168 : 29c2d; -- FIXED => 1 . 9c2d (1 . 39981) FLOAT +1.305031
168 : 270b7; -- FIXED => 1 . 70b7 (1 . 28855) FLOAT +1.220146
169 : 29c90; -- FIXED => 1 . 9c90 (1 . 40080) FLOAT +1.305786
169 : 27240; -- FIXED => 1 . 7240 (1 . 29248) FLOAT +1.223145
16a : 29cf2; -- FIXED => 1 . 9cf2 (1 . 40178) FLOAT +1.306534
16a : 273c8; -- FIXED => 1 . 73c8 (1 . 29640) FLOAT +1.226135
16b : 29d53; -- FIXED => 1 . 9d53 (1 . 40275) FLOAT +1.307274
16b : 2754f; -- FIXED => 1 . 754f (1 . 30031) FLOAT +1.229118
16c : 29db5; -- FIXED => 1 . 9db5 (1 . 40373) FLOAT +1.308022
16c : 276d7; -- FIXED => 1 . 76d7 (1 . 30423) FLOAT +1.232109
16d : 29e17; -- FIXED => 1 . 9e17 (1 . 40471) FLOAT +1.308769
16d : 2785e; -- FIXED => 1 . 785e (1 . 30814) FLOAT +1.235092
16e : 29e79; -- FIXED => 1 . 9e79 (1 . 40569) FLOAT +1.309517
16e : 279e5; -- FIXED => 1 . 79e5 (1 . 31205) FLOAT +1.238075
16f : 29edb; -- FIXED => 1 . 9edb (1 . 40667) FLOAT +1.310265
16f : 27b6c; -- FIXED => 1 . 7b6c (1 . 31596) FLOAT +1.241058
170 : 29f3c; -- FIXED => 1 . 9f3c (1 . 40764) FLOAT +1.311005
170 : 27cf3; -- FIXED => 1 . 7cf3 (1 . 31987) FLOAT +1.244041
171 : 29f9e; -- FIXED => 1 . 9f9e (1 . 40862) FLOAT +1.311752
171 : 27e79; -- FIXED => 1 . 7e79 (1 . 32377) FLOAT +1.247017
172 : 2a000; -- FIXED => 1 . a000 (1 . 40960) FLOAT +1.312500
172 : 28000; -- FIXED => 1 . 8000 (1 . 32768) FLOAT +1.250000
173 : 2a061; -- FIXED => 1 . a061 (1 . 41057) FLOAT +1.313240
173 : 28186; -- FIXED => 1 . 8186 (1 . 33158) FLOAT +1.252975
174 : 2a0c2; -- FIXED => 1 . a0c2 (1 . 41154) FLOAT +1.313980
174 : 2830b; -- FIXED => 1 . 830b (1 . 33547) FLOAT +1.255943
175 : 2a124; -- FIXED => 1 . a124 (1 . 41252) FLOAT +1.314728
175 : 28491; -- FIXED => 1 . 8491 (1 . 33937) FLOAT +1.258919
176 : 2a185; -- FIXED => 1 . a185 (1 . 41349) FLOAT +1.315468
176 : 28616; -- FIXED => 1 . 8616 (1 . 34326) FLOAT +1.261887
177 : 2a1e6; -- FIXED => 1 . a1e6 (1 . 41446) FLOAT +1.316208
177 : 2879b; -- FIXED => 1 . 879b (1 . 34715) FLOAT +1.264854
178 : 2a248; -- FIXED => 1 . a248 (1 . 41544) FLOAT +1.316956
178 : 28920; -- FIXED => 1 . 8920 (1 . 35104) FLOAT +1.267822
179 : 2a2a9; -- FIXED => 1 . a2a9 (1 . 41641) FLOAT +1.317696
179 : 28aa5; -- FIXED => 1 . 8aa5 (1 . 35493) FLOAT +1.270790
17a : 2a30a; -- FIXED => 1 . a30a (1 . 41738) FLOAT +1.318436
17a : 28c29; -- FIXED => 1 . 8c29 (1 . 35881) FLOAT +1.273750
17b : 2a36b; -- FIXED => 1 . a36b (1 . 41835) FLOAT +1.319176
17b : 28dad; -- FIXED => 1 . 8dad (1 . 36269) FLOAT +1.276711
17c : 2a3cc; -- FIXED => 1 . a3cc (1 . 41932) FLOAT +1.319916
17c : 28f31; -- FIXED => 1 . 8f31 (1 . 36657) FLOAT +1.279671
17d : 2a42d; -- FIXED => 1 . a42d (1 . 42029) FLOAT +1.320656
17d : 290b5; -- FIXED => 1 . 90b5 (1 . 37045) FLOAT +1.282631
17e : 2a48e; -- FIXED => 1 . a48e (1 . 42126) FLOAT +1.321396
17e : 29239; -- FIXED => 1 . 9239 (1 . 37433) FLOAT +1.285591
17f : 2a4ef; -- FIXED => 1 . a4ef (1 . 42223) FLOAT +1.322136
17f : 293bc; -- FIXED => 1 . 93bc (1 . 37820) FLOAT +1.288544
180 : 2a54f; -- FIXED => 1 . a54f (1 . 42319) FLOAT +1.322868
180 : 2953f; -- FIXED => 1 . 953f (1 . 38207) FLOAT +1.291496
181 : 2a5b0; -- FIXED => 1 . a5b0 (1 . 42416) FLOAT +1.323608
181 : 296c2; -- FIXED => 1 . 96c2 (1 . 38594) FLOAT +1.294449
182 : 2a611; -- FIXED => 1 . a611 (1 . 42513) FLOAT +1.324348
182 : 29845; -- FIXED => 1 . 9845 (1 . 38981) FLOAT +1.297401
183 : 2a671; -- FIXED => 1 . a671 (1 . 42609) FLOAT +1.325081
183 : 299c7; -- FIXED => 1 . 99c7 (1 . 39367) FLOAT +1.300346
184 : 2a6d2; -- FIXED => 1 . a6d2 (1 . 42706) FLOAT +1.325821
184 : 29b4a; -- FIXED => 1 . 9b4a (1 . 39754) FLOAT +1.303299
185 : 2a733; -- FIXED => 1 . a733 (1 . 42803) FLOAT +1.326561
185 : 29ccc; -- FIXED => 1 . 9ccc (1 . 40140) FLOAT +1.306244
186 : 2a793; -- FIXED => 1 . a793 (1 . 42899) FLOAT +1.327293
186 : 29e4e; -- FIXED => 1 . 9e4e (1 . 40526) FLOAT +1.309189
187 : 2a7f3; -- FIXED => 1 . a7f3 (1 . 42995) FLOAT +1.328026
187 : 29fcf; -- FIXED => 1 . 9fcf (1 . 40911) FLOAT +1.312126
188 : 2a854; -- FIXED => 1 . a854 (1 . 43092) FLOAT +1.328766
188 : 2a151; -- FIXED => 1 . a151 (1 . 41297) FLOAT +1.315071
189 : 2a8b4; -- FIXED => 1 . a8b4 (1 . 43188) FLOAT +1.329498
189 : 2a2d2; -- FIXED => 1 . a2d2 (1 . 41682) FLOAT +1.318008
18a : 2a914; -- FIXED => 1 . a914 (1 . 43284) FLOAT +1.330231
18a : 2a453; -- FIXED => 1 . a453 (1 . 42067) FLOAT +1.320946
18b : 2a975; -- FIXED => 1 . a975 (1 . 43381) FLOAT +1.330971
18b : 2a5d4; -- FIXED => 1 . a5d4 (1 . 42452) FLOAT +1.323883
18c : 2a9d5; -- FIXED => 1 . a9d5 (1 . 43477) FLOAT +1.331703
18c : 2a754; -- FIXED => 1 . a754 (1 . 42836) FLOAT +1.326813
18d : 2aa35; -- FIXED => 1 . aa35 (1 . 43573) FLOAT +1.332436
18d : 2a8d5; -- FIXED => 1 . a8d5 (1 . 43221) FLOAT +1.329750
18e : 2aa95; -- FIXED => 1 . aa95 (1 . 43669) FLOAT +1.333168
18e : 2aa55; -- FIXED => 1 . aa55 (1 . 43605) FLOAT +1.332680
18f : 2aaf5; -- FIXED => 1 . aaf5 (1 . 43765) FLOAT +1.333900
18f : 2abd5; -- FIXED => 1 . abd5 (1 . 43989) FLOAT +1.335609
190 : 2ab55; -- FIXED => 1 . ab55 (1 . 43861) FLOAT +1.334633
190 : 2ad55; -- FIXED => 1 . ad55 (1 . 44373) FLOAT +1.338539
191 : 2abb5; -- FIXED => 1 . abb5 (1 . 43957) FLOAT +1.335365
191 : 2aed4; -- FIXED => 1 . aed4 (1 . 44756) FLOAT +1.341461
192 : 2ac14; -- FIXED => 1 . ac14 (1 . 44052) FLOAT +1.336090
192 : 2b053; -- FIXED => 1 . b053 (1 . 45139) FLOAT +1.344383
193 : 2ac74; -- FIXED => 1 . ac74 (1 . 44148) FLOAT +1.336823
193 : 2b1d2; -- FIXED => 1 . b1d2 (1 . 45522) FLOAT +1.347305
194 : 2acd4; -- FIXED => 1 . acd4 (1 . 44244) FLOAT +1.337555
194 : 2b351; -- FIXED => 1 . b351 (1 . 45905) FLOAT +1.350227
195 : 2ad34; -- FIXED => 1 . ad34 (1 . 44340) FLOAT +1.338287
195 : 2b4d0; -- FIXED => 1 . b4d0 (1 . 46288) FLOAT +1.353149
196 : 2ad93; -- FIXED => 1 . ad93 (1 . 44435) FLOAT +1.339012
196 : 2b64f; -- FIXED => 1 . b64f (1 . 46671) FLOAT +1.356071
197 : 2adf3; -- FIXED => 1 . adf3 (1 . 44531) FLOAT +1.339745
197 : 2b7cd; -- FIXED => 1 . b7cd (1 . 47053) FLOAT +1.358986
198 : 2ae52; -- FIXED => 1 . ae52 (1 . 44626) FLOAT +1.340469
198 : 2b94b; -- FIXED => 1 . b94b (1 . 47435) FLOAT +1.361900
199 : 2aeb2; -- FIXED => 1 . aeb2 (1 . 44722) FLOAT +1.341202
199 : 2bac9; -- FIXED => 1 . bac9 (1 . 47817) FLOAT +1.364815
19a : 2af11; -- FIXED => 1 . af11 (1 . 44817) FLOAT +1.341927
19a : 2bc46; -- FIXED => 1 . bc46 (1 . 48198) FLOAT +1.367722
19b : 2af71; -- FIXED => 1 . af71 (1 . 44913) FLOAT +1.342659
19b : 2bdc4; -- FIXED => 1 . bdc4 (1 . 48580) FLOAT +1.370636
19c : 2afd0; -- FIXED => 1 . afd0 (1 . 45008) FLOAT +1.343384
19c : 2bf41; -- FIXED => 1 . bf41 (1 . 48961) FLOAT +1.373543
19d : 2b02f; -- FIXED => 1 . b02f (1 . 45103) FLOAT +1.344109
19d : 2c0be; -- FIXED => 1 . c0be (1 . 49342) FLOAT +1.376450
19e : 2b08e; -- FIXED => 1 . b08e (1 . 45198) FLOAT +1.344833
19e : 2c23b; -- FIXED => 1 . c23b (1 . 49723) FLOAT +1.379356
19f : 2b0ed; -- FIXED => 1 . b0ed (1 . 45293) FLOAT +1.345558
19f : 2c3b7; -- FIXED => 1 . c3b7 (1 . 50103) FLOAT +1.382256
1a0 : 2b14d; -- FIXED => 1 . b14d (1 . 45389) FLOAT +1.346291
1a0 : 2c534; -- FIXED => 1 . c534 (1 . 50484) FLOAT +1.385162
1a1 : 2b1ac; -- FIXED => 1 . b1ac (1 . 45484) FLOAT +1.347015
1a1 : 2c6b0; -- FIXED => 1 . c6b0 (1 . 50864) FLOAT +1.388062
1a2 : 2b20b; -- FIXED => 1 . b20b (1 . 45579) FLOAT +1.347740
1a2 : 2c82c; -- FIXED => 1 . c82c (1 . 51244) FLOAT +1.390961
1a3 : 2b26a; -- FIXED => 1 . b26a (1 . 45674) FLOAT +1.348465
1a3 : 2c9a8; -- FIXED => 1 . c9a8 (1 . 51624) FLOAT +1.393860
1a4 : 2b2c8; -- FIXED => 1 . b2c8 (1 . 45768) FLOAT +1.349182
1a4 : 2cb23; -- FIXED => 1 . cb23 (1 . 52003) FLOAT +1.396751
1a5 : 2b327; -- FIXED => 1 . b327 (1 . 45863) FLOAT +1.349907
1a5 : 2cc9f; -- FIXED => 1 . cc9f (1 . 52383) FLOAT +1.399651
1a6 : 2b386; -- FIXED => 1 . b386 (1 . 45958) FLOAT +1.350632
1a6 : 2ce1a; -- FIXED => 1 . ce1a (1 . 52762) FLOAT +1.402542
1a7 : 2b3e5; -- FIXED => 1 . b3e5 (1 . 46053) FLOAT +1.351357
1a7 : 2cf95; -- FIXED => 1 . cf95 (1 . 53141) FLOAT +1.405434
1a8 : 2b444; -- FIXED => 1 . b444 (1 . 46148) FLOAT +1.352081
1a8 : 2d110; -- FIXED => 1 . d110 (1 . 53520) FLOAT +1.408325
1a9 : 2b4a2; -- FIXED => 1 . b4a2 (1 . 46242) FLOAT +1.352798
1a9 : 2d28a; -- FIXED => 1 . d28a (1 . 53898) FLOAT +1.411209
1aa : 2b501; -- FIXED => 1 . b501 (1 . 46337) FLOAT +1.353523
1aa : 2d405; -- FIXED => 1 . d405 (1 . 54277) FLOAT +1.414101
1ab : 2b55f; -- FIXED => 1 . b55f (1 . 46431) FLOAT +1.354240
1ab : 2d57f; -- FIXED => 1 . d57f (1 . 54655) FLOAT +1.416985
1ac : 2b5be; -- FIXED => 1 . b5be (1 . 46526) FLOAT +1.354965
1ac : 2d6f9; -- FIXED => 1 . d6f9 (1 . 55033) FLOAT +1.419868
1ad : 2b61c; -- FIXED => 1 . b61c (1 . 46620) FLOAT +1.355682
1ad : 2d873; -- FIXED => 1 . d873 (1 . 55411) FLOAT +1.422752
1ae : 2b67b; -- FIXED => 1 . b67b (1 . 46715) FLOAT +1.356407
1ae : 2d9ec; -- FIXED => 1 . d9ec (1 . 55788) FLOAT +1.425629
1af : 2b6d9; -- FIXED => 1 . b6d9 (1 . 46809) FLOAT +1.357124
1af : 2db66; -- FIXED => 1 . db66 (1 . 56166) FLOAT +1.428513
1b0 : 2b737; -- FIXED => 1 . b737 (1 . 46903) FLOAT +1.357841
1b0 : 2dcdf; -- FIXED => 1 . dcdf (1 . 56543) FLOAT +1.431389
1b1 : 2b796; -- FIXED => 1 . b796 (1 . 46998) FLOAT +1.358566
1b1 : 2de58; -- FIXED => 1 . de58 (1 . 56920) FLOAT +1.434265
1b2 : 2b7f4; -- FIXED => 1 . b7f4 (1 . 47092) FLOAT +1.359283
1b2 : 2dfd0; -- FIXED => 1 . dfd0 (1 . 57296) FLOAT +1.437134
1b3 : 2b852; -- FIXED => 1 . b852 (1 . 47186) FLOAT +1.360001
1b3 : 2e149; -- FIXED => 1 . e149 (1 . 57673) FLOAT +1.440010
1b4 : 2b8b0; -- FIXED => 1 . b8b0 (1 . 47280) FLOAT +1.360718
1b4 : 2e2c1; -- FIXED => 1 . e2c1 (1 . 58049) FLOAT +1.442879
1b5 : 2b90e; -- FIXED => 1 . b90e (1 . 47374) FLOAT +1.361435
1b5 : 2e43a; -- FIXED => 1 . e43a (1 . 58426) FLOAT +1.445755
1b6 : 2b96c; -- FIXED => 1 . b96c (1 . 47468) FLOAT +1.362152
1b6 : 2e5b2; -- FIXED => 1 . e5b2 (1 . 58802) FLOAT +1.448624
1b7 : 2b9ca; -- FIXED => 1 . b9ca (1 . 47562) FLOAT +1.362869
1b7 : 2e729; -- FIXED => 1 . e729 (1 . 59177) FLOAT +1.451485
1b8 : 2ba28; -- FIXED => 1 . ba28 (1 . 47656) FLOAT +1.363586
1b8 : 2e8a1; -- FIXED => 1 . e8a1 (1 . 59553) FLOAT +1.454353
1b9 : 2ba86; -- FIXED => 1 . ba86 (1 . 47750) FLOAT +1.364304
1b9 : 2ea18; -- FIXED => 1 . ea18 (1 . 59928) FLOAT +1.457214
1ba : 2bae3; -- FIXED => 1 . bae3 (1 . 47843) FLOAT +1.365013
1ba : 2eb8f; -- FIXED => 1 . eb8f (1 . 60303) FLOAT +1.460075
1bb : 2bb41; -- FIXED => 1 . bb41 (1 . 47937) FLOAT +1.365730
1bb : 2ed06; -- FIXED => 1 . ed06 (1 . 60678) FLOAT +1.462936
1bc : 2bb9f; -- FIXED => 1 . bb9f (1 . 48031) FLOAT +1.366447
1bc : 2ee7d; -- FIXED => 1 . ee7d (1 . 61053) FLOAT +1.465797
1bd : 2bbfd; -- FIXED => 1 . bbfd (1 . 48125) FLOAT +1.367165
1bd : 2eff4; -- FIXED => 1 . eff4 (1 . 61428) FLOAT +1.468658
1be : 2bc5a; -- FIXED => 1 . bc5a (1 . 48218) FLOAT +1.367874
1be : 2f16a; -- FIXED => 1 . f16a (1 . 61802) FLOAT +1.471512
1bf : 2bcb8; -- FIXED => 1 . bcb8 (1 . 48312) FLOAT +1.368591
1bf : 2f2e0; -- FIXED => 1 . f2e0 (1 . 62176) FLOAT +1.474365
1c0 : 2bd15; -- FIXED => 1 . bd15 (1 . 48405) FLOAT +1.369301
1c0 : 2f456; -- FIXED => 1 . f456 (1 . 62550) FLOAT +1.477219
1c1 : 2bd73; -- FIXED => 1 . bd73 (1 . 48499) FLOAT +1.370018
1c1 : 2f5cc; -- FIXED => 1 . f5cc (1 . 62924) FLOAT +1.480072
1c2 : 2bdd0; -- FIXED => 1 . bdd0 (1 . 48592) FLOAT +1.370728
1c2 : 2f742; -- FIXED => 1 . f742 (1 . 63298) FLOAT +1.482925
1c3 : 2be2d; -- FIXED => 1 . be2d (1 . 48685) FLOAT +1.371437
1c3 : 2f8b7; -- FIXED => 1 . f8b7 (1 . 63671) FLOAT +1.485771
1c4 : 2be8b; -- FIXED => 1 . be8b (1 . 48779) FLOAT +1.372154
1c4 : 2fa2d; -- FIXED => 1 . fa2d (1 . 64045) FLOAT +1.488625
1c5 : 2bee8; -- FIXED => 1 . bee8 (1 . 48872) FLOAT +1.372864
1c5 : 2fba2; -- FIXED => 1 . fba2 (1 . 64418) FLOAT +1.491470
1c6 : 2bf45; -- FIXED => 1 . bf45 (1 . 48965) FLOAT +1.373573
1c6 : 2fd16; -- FIXED => 1 . fd16 (1 . 64790) FLOAT +1.494308
1c7 : 2bfa2; -- FIXED => 1 . bfa2 (1 . 49058) FLOAT +1.374283
1c7 : 2fe8b; -- FIXED => 1 . fe8b (1 . 65163) FLOAT +1.497154
1c8 : 2c000; -- FIXED => 1 . c000 (1 . 49152) FLOAT +1.375000
1c8 : 30000; -- FIXED => 1 . 10000 (1 . 65536) FLOAT +1.500000
1c9 : 2c05d; -- FIXED => 1 . c05d (1 . 49245) FLOAT +1.375710
1c9 : 30174; -- FIXED => 1 . 10174 (1 . 65908) FLOAT +1.502838
1ca : 2c0ba; -- FIXED => 1 . c0ba (1 . 49338) FLOAT +1.376419
1ca : 302e8; -- FIXED => 1 . 102e8 (1 . 66280) FLOAT +1.505676
1cb : 2c117; -- FIXED => 1 . c117 (1 . 49431) FLOAT +1.377129
1cb : 3045c; -- FIXED => 1 . 1045c (1 . 66652) FLOAT +1.508514
1cc : 2c173; -- FIXED => 1 . c173 (1 . 49523) FLOAT +1.377831
1cc : 305cf; -- FIXED => 1 . 105cf (1 . 67023) FLOAT +1.511345
1cd : 2c1d0; -- FIXED => 1 . c1d0 (1 . 49616) FLOAT +1.378540
1cd : 30743; -- FIXED => 1 . 10743 (1 . 67395) FLOAT +1.514183
1ce : 2c22d; -- FIXED => 1 . c22d (1 . 49709) FLOAT +1.379250
1ce : 308b6; -- FIXED => 1 . 108b6 (1 . 67766) FLOAT +1.517014
1cf : 2c28a; -- FIXED => 1 . c28a (1 . 49802) FLOAT +1.379959
1cf : 30a29; -- FIXED => 1 . 10a29 (1 . 68137) FLOAT +1.519844
1d0 : 2c2e7; -- FIXED => 1 . c2e7 (1 . 49895) FLOAT +1.380669
1d0 : 30b9c; -- FIXED => 1 . 10b9c (1 . 68508) FLOAT +1.522675
1d1 : 2c343; -- FIXED => 1 . c343 (1 . 49987) FLOAT +1.381371
1d1 : 30d0f; -- FIXED => 1 . 10d0f (1 . 68879) FLOAT +1.525505
1d2 : 2c3a0; -- FIXED => 1 . c3a0 (1 . 50080) FLOAT +1.382080
1d2 : 30e82; -- FIXED => 1 . 10e82 (1 . 69250) FLOAT +1.528336
1d3 : 2c3fd; -- FIXED => 1 . c3fd (1 . 50173) FLOAT +1.382790
1d3 : 30ff4; -- FIXED => 1 . 10ff4 (1 . 69620) FLOAT +1.531158
1d4 : 2c459; -- FIXED => 1 . c459 (1 . 50265) FLOAT +1.383492
1d4 : 31166; -- FIXED => 1 . 11166 (1 . 69990) FLOAT +1.533981
1d5 : 2c4b6; -- FIXED => 1 . c4b6 (1 . 50358) FLOAT +1.384201
1d5 : 312d8; -- FIXED => 1 . 112d8 (1 . 70360) FLOAT +1.536804
1d6 : 2c512; -- FIXED => 1 . c512 (1 . 50450) FLOAT +1.384903
1d6 : 3144a; -- FIXED => 1 . 1144a (1 . 70730) FLOAT +1.539627
1d7 : 2c56f; -- FIXED => 1 . c56f (1 . 50543) FLOAT +1.385612
1d7 : 315bc; -- FIXED => 1 . 115bc (1 . 71100) FLOAT +1.542450
1d8 : 2c5cb; -- FIXED => 1 . c5cb (1 . 50635) FLOAT +1.386314
1d8 : 3172d; -- FIXED => 1 . 1172d (1 . 71469) FLOAT +1.545265
1d9 : 2c627; -- FIXED => 1 . c627 (1 . 50727) FLOAT +1.387016
1d9 : 3189e; -- FIXED => 1 . 1189e (1 . 71838) FLOAT +1.548080
1da : 2c683; -- FIXED => 1 . c683 (1 . 50819) FLOAT +1.387718
1da : 31a0f; -- FIXED => 1 . 11a0f (1 . 72207) FLOAT +1.550896
1db : 2c6e0; -- FIXED => 1 . c6e0 (1 . 50912) FLOAT +1.388428
1db : 31b80; -- FIXED => 1 . 11b80 (1 . 72576) FLOAT +1.553711
1dc : 2c73c; -- FIXED => 1 . c73c (1 . 51004) FLOAT +1.389130
1dc : 31cf1; -- FIXED => 1 . 11cf1 (1 . 72945) FLOAT +1.556526
1dd : 2c798; -- FIXED => 1 . c798 (1 . 51096) FLOAT +1.389832
1dd : 31e61; -- FIXED => 1 . 11e61 (1 . 73313) FLOAT +1.559334
1de : 2c7f4; -- FIXED => 1 . c7f4 (1 . 51188) FLOAT +1.390533
1de : 31fd2; -- FIXED => 1 . 11fd2 (1 . 73682) FLOAT +1.562149
1df : 2c850; -- FIXED => 1 . c850 (1 . 51280) FLOAT +1.391235
1df : 32142; -- FIXED => 1 . 12142 (1 . 74050) FLOAT +1.564957
1e0 : 2c8ac; -- FIXED => 1 . c8ac (1 . 51372) FLOAT +1.391937
1e0 : 322b2; -- FIXED => 1 . 122b2 (1 . 74418) FLOAT +1.567764
1e1 : 2c908; -- FIXED => 1 . c908 (1 . 51464) FLOAT +1.392639
1e1 : 32421; -- FIXED => 1 . 12421 (1 . 74785) FLOAT +1.570564
1e2 : 2c964; -- FIXED => 1 . c964 (1 . 51556) FLOAT +1.393341
1e2 : 32591; -- FIXED => 1 . 12591 (1 . 75153) FLOAT +1.573372
1e3 : 2c9c0; -- FIXED => 1 . c9c0 (1 . 51648) FLOAT +1.394043
1e3 : 32700; -- FIXED => 1 . 12700 (1 . 75520) FLOAT +1.576172
1e4 : 2ca1b; -- FIXED => 1 . ca1b (1 . 51739) FLOAT +1.394737
1e4 : 3286f; -- FIXED => 1 . 1286f (1 . 75887) FLOAT +1.578972
1e5 : 2ca77; -- FIXED => 1 . ca77 (1 . 51831) FLOAT +1.395439
1e5 : 329de; -- FIXED => 1 . 129de (1 . 76254) FLOAT +1.581772
1e6 : 2cad3; -- FIXED => 1 . cad3 (1 . 51923) FLOAT +1.396141
1e6 : 32b4d; -- FIXED => 1 . 12b4d (1 . 76621) FLOAT +1.584572
1e7 : 2cb2f; -- FIXED => 1 . cb2f (1 . 52015) FLOAT +1.396843
1e7 : 32cbc; -- FIXED => 1 . 12cbc (1 . 76988) FLOAT +1.587372
1e8 : 2cb8a; -- FIXED => 1 . cb8a (1 . 52106) FLOAT +1.397537
1e8 : 32e2a; -- FIXED => 1 . 12e2a (1 . 77354) FLOAT +1.590164
1e9 : 2cbe6; -- FIXED => 1 . cbe6 (1 . 52198) FLOAT +1.398239
1e9 : 32f99; -- FIXED => 1 . 12f99 (1 . 77721) FLOAT +1.592964
1ea : 2cc41; -- FIXED => 1 . cc41 (1 . 52289) FLOAT +1.398933
1ea : 33107; -- FIXED => 1 . 13107 (1 . 78087) FLOAT +1.595757
1eb : 2cc9d; -- FIXED => 1 . cc9d (1 . 52381) FLOAT +1.399635
1eb : 33275; -- FIXED => 1 . 13275 (1 . 78453) FLOAT +1.598549
1ec : 2ccf8; -- FIXED => 1 . ccf8 (1 . 52472) FLOAT +1.400330
1ec : 333e2; -- FIXED => 1 . 133e2 (1 . 78818) FLOAT +1.601334
1ed : 2cd54; -- FIXED => 1 . cd54 (1 . 52564) FLOAT +1.401031
1ed : 33550; -- FIXED => 1 . 13550 (1 . 79184) FLOAT +1.604126
1ee : 2cdaf; -- FIXED => 1 . cdaf (1 . 52655) FLOAT +1.401726
1ee : 336bd; -- FIXED => 1 . 136bd (1 . 79549) FLOAT +1.606911
1ef : 2ce0a; -- FIXED => 1 . ce0a (1 . 52746) FLOAT +1.402420
1ef : 3382a; -- FIXED => 1 . 1382a (1 . 79914) FLOAT +1.609695
1f0 : 2ce65; -- FIXED => 1 . ce65 (1 . 52837) FLOAT +1.403114
1f0 : 33997; -- FIXED => 1 . 13997 (1 . 80279) FLOAT +1.612480
1f1 : 2cec1; -- FIXED => 1 . cec1 (1 . 52929) FLOAT +1.403816
1f1 : 33b04; -- FIXED => 1 . 13b04 (1 . 80644) FLOAT +1.615265
1f2 : 2cf1c; -- FIXED => 1 . cf1c (1 . 53020) FLOAT +1.404510
1f2 : 33c71; -- FIXED => 1 . 13c71 (1 . 81009) FLOAT +1.618050
1f3 : 2cf77; -- FIXED => 1 . cf77 (1 . 53111) FLOAT +1.405205
1f3 : 33ddd; -- FIXED => 1 . 13ddd (1 . 81373) FLOAT +1.620827
1f4 : 2cfd2; -- FIXED => 1 . cfd2 (1 . 53202) FLOAT +1.405899
1f4 : 33f49; -- FIXED => 1 . 13f49 (1 . 81737) FLOAT +1.623604
1f5 : 2d02d; -- FIXED => 1 . d02d (1 . 53293) FLOAT +1.406593
1f5 : 340b6; -- FIXED => 1 . 140b6 (1 . 82102) FLOAT +1.626389
1f6 : 2d088; -- FIXED => 1 . d088 (1 . 53384) FLOAT +1.407288
1f6 : 34221; -- FIXED => 1 . 14221 (1 . 82465) FLOAT +1.629158
1f7 : 2d0e3; -- FIXED => 1 . d0e3 (1 . 53475) FLOAT +1.407982
1f7 : 3438d; -- FIXED => 1 . 1438d (1 . 82829) FLOAT +1.631935
1f8 : 2d13e; -- FIXED => 1 . d13e (1 . 53566) FLOAT +1.408676
1f8 : 344f9; -- FIXED => 1 . 144f9 (1 . 83193) FLOAT +1.634712
1f9 : 2d199; -- FIXED => 1 . d199 (1 . 53657) FLOAT +1.409370
1f9 : 34664; -- FIXED => 1 . 14664 (1 . 83556) FLOAT +1.637482
1fa : 2d1f3; -- FIXED => 1 . d1f3 (1 . 53747) FLOAT +1.410057
1fa : 347cf; -- FIXED => 1 . 147cf (1 . 83919) FLOAT +1.640251
1fb : 2d24e; -- FIXED => 1 . d24e (1 . 53838) FLOAT +1.410751
1fb : 3493a; -- FIXED => 1 . 1493a (1 . 84282) FLOAT +1.643021
1fc : 2d2a9; -- FIXED => 1 . d2a9 (1 . 53929) FLOAT +1.411446
1fc : 34aa5; -- FIXED => 1 . 14aa5 (1 . 84645) FLOAT +1.645790
1fd : 2d304; -- FIXED => 1 . d304 (1 . 54020) FLOAT +1.412140
1fd : 34c10; -- FIXED => 1 . 14c10 (1 . 85008) FLOAT +1.648560
1fe : 2d35e; -- FIXED => 1 . d35e (1 . 54110) FLOAT +1.412827
1fe : 34d7a; -- FIXED => 1 . 14d7a (1 . 85370) FLOAT +1.651321
1ff : 2d3b9; -- FIXED => 1 . d3b9 (1 . 54201) FLOAT +1.413521
1ff : 34ee5; -- FIXED => 1 . 14ee5 (1 . 85733) FLOAT +1.654091
 
END;
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.