OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [sqrtdiv/] [memsqrt2f.mif] - Diff between revs 76 and 79

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 76 Rev 79
Line 1... Line 1...
--RAND MAX: 0x7fffffff
--RAND MAX: 0x7fff
--UNIX BASED VERSION
--MINGW32 VERSION
DEPTH = 512;
DEPTH = 512;
WIDTH = 18;
WIDTH = 18;
ADDRESS_RADIX=HEX;
ADDRESS_RADIX=HEX;
DATA_RADIX=HEX;
DATA_RADIX=HEX;
CONTENT
CONTENT
BEGIN
BEGIN
 
 
-- epsilon: 0.001953
-- epsilon: 0.001953
000 : 3504f; -- FIXED => 1 . 1504f (1 . 86095) FLOAT +1.656853
000 : 2d413; -- FIXED => 1 . d413 (1 . 54291) FLOAT +1.414207
001 : 35322; -- FIXED => 1 . 15322 (1 . 86818) FLOAT +1.662369
001 : 2d4c8; -- FIXED => 1 . d4c8 (1 . 54472) FLOAT +1.415588
002 : 355f5; -- FIXED => 1 . 155f5 (1 . 87541) FLOAT +1.667885
002 : 2d57d; -- FIXED => 1 . d57d (1 . 54653) FLOAT +1.416969
003 : 358c8; -- FIXED => 1 . 158c8 (1 . 88264) FLOAT +1.673401
003 : 2d632; -- FIXED => 1 . d632 (1 . 54834) FLOAT +1.418350
004 : 35b99; -- FIXED => 1 . 15b99 (1 . 88985) FLOAT +1.678902
004 : 2d6e6; -- FIXED => 1 . d6e6 (1 . 55014) FLOAT +1.419724
005 : 35e6a; -- FIXED => 1 . 15e6a (1 . 89706) FLOAT +1.684402
005 : 2d79a; -- FIXED => 1 . d79a (1 . 55194) FLOAT +1.421097
006 : 3613b; -- FIXED => 1 . 1613b (1 . 90427) FLOAT +1.689903
006 : 2d84e; -- FIXED => 1 . d84e (1 . 55374) FLOAT +1.422470
007 : 3640a; -- FIXED => 1 . 1640a (1 . 91146) FLOAT +1.695389
007 : 2d902; -- FIXED => 1 . d902 (1 . 55554) FLOAT +1.423843
008 : 366d9; -- FIXED => 1 . 166d9 (1 . 91865) FLOAT +1.700874
008 : 2d9b6; -- FIXED => 1 . d9b6 (1 . 55734) FLOAT +1.425217
009 : 369a7; -- FIXED => 1 . 169a7 (1 . 92583) FLOAT +1.706352
009 : 2da69; -- FIXED => 1 . da69 (1 . 55913) FLOAT +1.426582
00a : 36c74; -- FIXED => 1 . 16c74 (1 . 93300) FLOAT +1.711823
00a : 2db1d; -- FIXED => 1 . db1d (1 . 56093) FLOAT +1.427956
00b : 36f41; -- FIXED => 1 . 16f41 (1 . 94017) FLOAT +1.717293
00b : 2dbd0; -- FIXED => 1 . dbd0 (1 . 56272) FLOAT +1.429321
00c : 3720d; -- FIXED => 1 . 1720d (1 . 94733) FLOAT +1.722755
00c : 2dc83; -- FIXED => 1 . dc83 (1 . 56451) FLOAT +1.430687
00d : 374d9; -- FIXED => 1 . 174d9 (1 . 95449) FLOAT +1.728218
00d : 2dd36; -- FIXED => 1 . dd36 (1 . 56630) FLOAT +1.432053
00e : 377a3; -- FIXED => 1 . 177a3 (1 . 96163) FLOAT +1.733665
00e : 2dde8; -- FIXED => 1 . dde8 (1 . 56808) FLOAT +1.433411
00f : 37a6d; -- FIXED => 1 . 17a6d (1 . 96877) FLOAT +1.739113
00f : 2de9b; -- FIXED => 1 . de9b (1 . 56987) FLOAT +1.434776
010 : 37d37; -- FIXED => 1 . 17d37 (1 . 97591) FLOAT +1.744560
010 : 2df4d; -- FIXED => 1 . df4d (1 . 57165) FLOAT +1.436134
011 : 38000; -- FIXED => 1 . 18000 (1 . 98304) FLOAT +1.750000
011 : 2e000; -- FIXED => 1 . e000 (1 . 57344) FLOAT +1.437500
012 : 382c8; -- FIXED => 1 . 182c8 (1 . 99016) FLOAT +1.755432
012 : 2e0b2; -- FIXED => 1 . e0b2 (1 . 57522) FLOAT +1.438858
013 : 3858f; -- FIXED => 1 . 1858f (1 . 99727) FLOAT +1.760857
013 : 2e163; -- FIXED => 1 . e163 (1 . 57699) FLOAT +1.440208
014 : 38856; -- FIXED => 1 . 18856 (1 . 100438) FLOAT +1.766281
014 : 2e215; -- FIXED => 1 . e215 (1 . 57877) FLOAT +1.441566
015 : 38b1c; -- FIXED => 1 . 18b1c (1 . 101148) FLOAT +1.771698
015 : 2e2c7; -- FIXED => 1 . e2c7 (1 . 58055) FLOAT +1.442924
016 : 38de1; -- FIXED => 1 . 18de1 (1 . 101857) FLOAT +1.777107
016 : 2e378; -- FIXED => 1 . e378 (1 . 58232) FLOAT +1.444275
017 : 390a6; -- FIXED => 1 . 190a6 (1 . 102566) FLOAT +1.782516
017 : 2e429; -- FIXED => 1 . e429 (1 . 58409) FLOAT +1.445625
018 : 3936a; -- FIXED => 1 . 1936a (1 . 103274) FLOAT +1.787918
018 : 2e4da; -- FIXED => 1 . e4da (1 . 58586) FLOAT +1.446976
019 : 3962d; -- FIXED => 1 . 1962d (1 . 103981) FLOAT +1.793312
019 : 2e58b; -- FIXED => 1 . e58b (1 . 58763) FLOAT +1.448326
01a : 398f0; -- FIXED => 1 . 198f0 (1 . 104688) FLOAT +1.798706
01a : 2e63c; -- FIXED => 1 . e63c (1 . 58940) FLOAT +1.449677
01b : 39bb2; -- FIXED => 1 . 19bb2 (1 . 105394) FLOAT +1.804092
01b : 2e6ec; -- FIXED => 1 . e6ec (1 . 59116) FLOAT +1.451019
01c : 39e73; -- FIXED => 1 . 19e73 (1 . 106099) FLOAT +1.809471
01c : 2e79c; -- FIXED => 1 . e79c (1 . 59292) FLOAT +1.452362
01d : 3a134; -- FIXED => 1 . 1a134 (1 . 106804) FLOAT +1.814850
01d : 2e84d; -- FIXED => 1 . e84d (1 . 59469) FLOAT +1.453712
01e : 3a3f4; -- FIXED => 1 . 1a3f4 (1 . 107508) FLOAT +1.820221
01e : 2e8fd; -- FIXED => 1 . e8fd (1 . 59645) FLOAT +1.455055
01f : 3a6b3; -- FIXED => 1 . 1a6b3 (1 . 108211) FLOAT +1.825584
01f : 2e9ac; -- FIXED => 1 . e9ac (1 . 59820) FLOAT +1.456390
020 : 3a972; -- FIXED => 1 . 1a972 (1 . 108914) FLOAT +1.830948
020 : 2ea5c; -- FIXED => 1 . ea5c (1 . 59996) FLOAT +1.457733
021 : 3ac30; -- FIXED => 1 . 1ac30 (1 . 109616) FLOAT +1.836304
021 : 2eb0c; -- FIXED => 1 . eb0c (1 . 60172) FLOAT +1.459076
022 : 3aeee; -- FIXED => 1 . 1aeee (1 . 110318) FLOAT +1.841660
022 : 2ebbb; -- FIXED => 1 . ebbb (1 . 60347) FLOAT +1.460411
023 : 3b1ab; -- FIXED => 1 . 1b1ab (1 . 111019) FLOAT +1.847008
023 : 2ec6a; -- FIXED => 1 . ec6a (1 . 60522) FLOAT +1.461746
024 : 3b467; -- FIXED => 1 . 1b467 (1 . 111719) FLOAT +1.852348
024 : 2ed19; -- FIXED => 1 . ed19 (1 . 60697) FLOAT +1.463081
025 : 3b722; -- FIXED => 1 . 1b722 (1 . 112418) FLOAT +1.857681
025 : 2edc8; -- FIXED => 1 . edc8 (1 . 60872) FLOAT +1.464417
026 : 3b9dd; -- FIXED => 1 . 1b9dd (1 . 113117) FLOAT +1.863014
026 : 2ee77; -- FIXED => 1 . ee77 (1 . 61047) FLOAT +1.465752
027 : 3bc98; -- FIXED => 1 . 1bc98 (1 . 113816) FLOAT +1.868347
027 : 2ef26; -- FIXED => 1 . ef26 (1 . 61222) FLOAT +1.467087
028 : 3bf51; -- FIXED => 1 . 1bf51 (1 . 114513) FLOAT +1.873665
028 : 2efd4; -- FIXED => 1 . efd4 (1 . 61396) FLOAT +1.468414
029 : 3c20a; -- FIXED => 1 . 1c20a (1 . 115210) FLOAT +1.878983
029 : 2f082; -- FIXED => 1 . f082 (1 . 61570) FLOAT +1.469742
02a : 3c4c3; -- FIXED => 1 . 1c4c3 (1 . 115907) FLOAT +1.884300
02a : 2f130; -- FIXED => 1 . f130 (1 . 61744) FLOAT +1.471069
02b : 3c77a; -- FIXED => 1 . 1c77a (1 . 116602) FLOAT +1.889603
02b : 2f1de; -- FIXED => 1 . f1de (1 . 61918) FLOAT +1.472397
02c : 3ca32; -- FIXED => 1 . 1ca32 (1 . 117298) FLOAT +1.894913
02c : 2f28c; -- FIXED => 1 . f28c (1 . 62092) FLOAT +1.473724
02d : 3cce8; -- FIXED => 1 . 1cce8 (1 . 117992) FLOAT +1.900208
02d : 2f33a; -- FIXED => 1 . f33a (1 . 62266) FLOAT +1.475052
02e : 3cf9e; -- FIXED => 1 . 1cf9e (1 . 118686) FLOAT +1.905502
02e : 2f3e7; -- FIXED => 1 . f3e7 (1 . 62439) FLOAT +1.476372
02f : 3d253; -- FIXED => 1 . 1d253 (1 . 119379) FLOAT +1.910789
02f : 2f494; -- FIXED => 1 . f494 (1 . 62612) FLOAT +1.477692
030 : 3d508; -- FIXED => 1 . 1d508 (1 . 120072) FLOAT +1.916077
030 : 2f542; -- FIXED => 1 . f542 (1 . 62786) FLOAT +1.479019
031 : 3d7bc; -- FIXED => 1 . 1d7bc (1 . 120764) FLOAT +1.921356
031 : 2f5ef; -- FIXED => 1 . f5ef (1 . 62959) FLOAT +1.480339
032 : 3da6f; -- FIXED => 1 . 1da6f (1 . 121455) FLOAT +1.926628
032 : 2f69b; -- FIXED => 1 . f69b (1 . 63131) FLOAT +1.481651
033 : 3dd22; -- FIXED => 1 . 1dd22 (1 . 122146) FLOAT +1.931900
033 : 2f748; -- FIXED => 1 . f748 (1 . 63304) FLOAT +1.482971
034 : 3dfd4; -- FIXED => 1 . 1dfd4 (1 . 122836) FLOAT +1.937164
034 : 2f7f5; -- FIXED => 1 . f7f5 (1 . 63477) FLOAT +1.484291
035 : 3e286; -- FIXED => 1 . 1e286 (1 . 123526) FLOAT +1.942429
035 : 2f8a1; -- FIXED => 1 . f8a1 (1 . 63649) FLOAT +1.485603
036 : 3e537; -- FIXED => 1 . 1e537 (1 . 124215) FLOAT +1.947685
036 : 2f94d; -- FIXED => 1 . f94d (1 . 63821) FLOAT +1.486916
037 : 3e7e7; -- FIXED => 1 . 1e7e7 (1 . 124903) FLOAT +1.952934
037 : 2f9f9; -- FIXED => 1 . f9f9 (1 . 63993) FLOAT +1.488228
038 : 3ea97; -- FIXED => 1 . 1ea97 (1 . 125591) FLOAT +1.958183
038 : 2faa5; -- FIXED => 1 . faa5 (1 . 64165) FLOAT +1.489540
039 : 3ed46; -- FIXED => 1 . 1ed46 (1 . 126278) FLOAT +1.963425
039 : 2fb51; -- FIXED => 1 . fb51 (1 . 64337) FLOAT +1.490852
03a : 3eff5; -- FIXED => 1 . 1eff5 (1 . 126965) FLOAT +1.968666
03a : 2fbfd; -- FIXED => 1 . fbfd (1 . 64509) FLOAT +1.492165
03b : 3f2a3; -- FIXED => 1 . 1f2a3 (1 . 127651) FLOAT +1.973900
03b : 2fca8; -- FIXED => 1 . fca8 (1 . 64680) FLOAT +1.493469
03c : 3f550; -- FIXED => 1 . 1f550 (1 . 128336) FLOAT +1.979126
03c : 2fd54; -- FIXED => 1 . fd54 (1 . 64852) FLOAT +1.494781
03d : 3f7fd; -- FIXED => 1 . 1f7fd (1 . 129021) FLOAT +1.984352
03d : 2fdff; -- FIXED => 1 . fdff (1 . 65023) FLOAT +1.496086
03e : 3faa9; -- FIXED => 1 . 1faa9 (1 . 129705) FLOAT +1.989571
03e : 2feaa; -- FIXED => 1 . feaa (1 . 65194) FLOAT +1.497391
03f : 3fd55; -- FIXED => 1 . 1fd55 (1 . 130389) FLOAT +1.994789
03f : 2ff55; -- FIXED => 1 . ff55 (1 . 65365) FLOAT +1.498695
040 : 00000; -- FIXED => 0 . 0 (0 . 0) FLOAT +0.000000
040 : 30000; -- FIXED => 1 . 10000 (1 . 65536) FLOAT +1.500000
041 : 002aa; -- FIXED => 0 . 2aa (0 . 682) FLOAT +0.005203
041 : 300aa; -- FIXED => 1 . 100aa (1 . 65706) FLOAT +1.501297
042 : 00554; -- FIXED => 0 . 554 (0 . 1364) FLOAT +0.010406
042 : 30155; -- FIXED => 1 . 10155 (1 . 65877) FLOAT +1.502602
043 : 007fd; -- FIXED => 0 . 7fd (0 . 2045) FLOAT +0.015602
043 : 301ff; -- FIXED => 1 . 101ff (1 . 66047) FLOAT +1.503899
044 : 00aa5; -- FIXED => 0 . aa5 (0 . 2725) FLOAT +0.020790
044 : 302a9; -- FIXED => 1 . 102a9 (1 . 66217) FLOAT +1.505196
045 : 00d4d; -- FIXED => 0 . d4d (0 . 3405) FLOAT +0.025978
045 : 30353; -- FIXED => 1 . 10353 (1 . 66387) FLOAT +1.506493
046 : 00ff5; -- FIXED => 0 . ff5 (0 . 4085) FLOAT +0.031166
046 : 303fd; -- FIXED => 1 . 103fd (1 . 66557) FLOAT +1.507790
047 : 0129c; -- FIXED => 0 . 129c (0 . 4764) FLOAT +0.036346
047 : 304a7; -- FIXED => 1 . 104a7 (1 . 66727) FLOAT +1.509087
048 : 01542; -- FIXED => 0 . 1542 (0 . 5442) FLOAT +0.041519
048 : 30550; -- FIXED => 1 . 10550 (1 . 66896) FLOAT +1.510376
049 : 017e8; -- FIXED => 0 . 17e8 (0 . 6120) FLOAT +0.046692
049 : 305fa; -- FIXED => 1 . 105fa (1 . 67066) FLOAT +1.511673
04a : 01a8d; -- FIXED => 0 . 1a8d (0 . 6797) FLOAT +0.051857
04a : 306a3; -- FIXED => 1 . 106a3 (1 . 67235) FLOAT +1.512962
04b : 01d31; -- FIXED => 0 . 1d31 (0 . 7473) FLOAT +0.057014
04b : 3074c; -- FIXED => 1 . 1074c (1 . 67404) FLOAT +1.514252
04c : 01fd5; -- FIXED => 0 . 1fd5 (0 . 8149) FLOAT +0.062172
04c : 307f5; -- FIXED => 1 . 107f5 (1 . 67573) FLOAT +1.515541
04d : 02279; -- FIXED => 0 . 2279 (0 . 8825) FLOAT +0.067329
04d : 3089e; -- FIXED => 1 . 1089e (1 . 67742) FLOAT +1.516830
04e : 0251b; -- FIXED => 0 . 251b (0 . 9499) FLOAT +0.072472
04e : 30946; -- FIXED => 1 . 10946 (1 . 67910) FLOAT +1.518112
04f : 027be; -- FIXED => 0 . 27be (0 . 10174) FLOAT +0.077621
04f : 309ef; -- FIXED => 1 . 109ef (1 . 68079) FLOAT +1.519402
050 : 02a5f; -- FIXED => 0 . 2a5f (0 . 10847) FLOAT +0.082756
050 : 30a97; -- FIXED => 1 . 10a97 (1 . 68247) FLOAT +1.520683
051 : 02d00; -- FIXED => 0 . 2d00 (0 . 11520) FLOAT +0.087891
051 : 30b40; -- FIXED => 1 . 10b40 (1 . 68416) FLOAT +1.521973
052 : 02fa1; -- FIXED => 0 . 2fa1 (0 . 12193) FLOAT +0.093025
052 : 30be8; -- FIXED => 1 . 10be8 (1 . 68584) FLOAT +1.523254
053 : 03241; -- FIXED => 0 . 3241 (0 . 12865) FLOAT +0.098152
053 : 30c90; -- FIXED => 1 . 10c90 (1 . 68752) FLOAT +1.524536
054 : 034e0; -- FIXED => 0 . 34e0 (0 . 13536) FLOAT +0.103271
054 : 30d38; -- FIXED => 1 . 10d38 (1 . 68920) FLOAT +1.525818
055 : 0377f; -- FIXED => 0 . 377f (0 . 14207) FLOAT +0.108391
055 : 30ddf; -- FIXED => 1 . 10ddf (1 . 69087) FLOAT +1.527092
056 : 03a1d; -- FIXED => 0 . 3a1d (0 . 14877) FLOAT +0.113503
056 : 30e87; -- FIXED => 1 . 10e87 (1 . 69255) FLOAT +1.528374
057 : 03cbb; -- FIXED => 0 . 3cbb (0 . 15547) FLOAT +0.118614
057 : 30f2e; -- FIXED => 1 . 10f2e (1 . 69422) FLOAT +1.529648
058 : 03f58; -- FIXED => 0 . 3f58 (0 . 16216) FLOAT +0.123718
058 : 30fd6; -- FIXED => 1 . 10fd6 (1 . 69590) FLOAT +1.530930
059 : 041f5; -- FIXED => 0 . 41f5 (0 . 16885) FLOAT +0.128822
059 : 3107d; -- FIXED => 1 . 1107d (1 . 69757) FLOAT +1.532204
05a : 04491; -- FIXED => 0 . 4491 (0 . 17553) FLOAT +0.133919
05a : 31124; -- FIXED => 1 . 11124 (1 . 69924) FLOAT +1.533478
05b : 0472c; -- FIXED => 0 . 472c (0 . 18220) FLOAT +0.139008
05b : 311cb; -- FIXED => 1 . 111cb (1 . 70091) FLOAT +1.534752
05c : 049c7; -- FIXED => 0 . 49c7 (0 . 18887) FLOAT +0.144096
05c : 31271; -- FIXED => 1 . 11271 (1 . 70257) FLOAT +1.536018
05d : 04c62; -- FIXED => 0 . 4c62 (0 . 19554) FLOAT +0.149185
05d : 31318; -- FIXED => 1 . 11318 (1 . 70424) FLOAT +1.537292
05e : 04efc; -- FIXED => 0 . 4efc (0 . 20220) FLOAT +0.154266
05e : 313bf; -- FIXED => 1 . 113bf (1 . 70591) FLOAT +1.538567
05f : 05195; -- FIXED => 0 . 5195 (0 . 20885) FLOAT +0.159340
05f : 31465; -- FIXED => 1 . 11465 (1 . 70757) FLOAT +1.539833
060 : 0542e; -- FIXED => 0 . 542e (0 . 21550) FLOAT +0.164413
060 : 3150b; -- FIXED => 1 . 1150b (1 . 70923) FLOAT +1.541100
061 : 056c6; -- FIXED => 0 . 56c6 (0 . 22214) FLOAT +0.169479
061 : 315b1; -- FIXED => 1 . 115b1 (1 . 71089) FLOAT +1.542366
062 : 0595d; -- FIXED => 0 . 595d (0 . 22877) FLOAT +0.174538
062 : 31657; -- FIXED => 1 . 11657 (1 . 71255) FLOAT +1.543633
063 : 05bf5; -- FIXED => 0 . 5bf5 (0 . 23541) FLOAT +0.179604
063 : 316fd; -- FIXED => 1 . 116fd (1 . 71421) FLOAT +1.544899
064 : 05e8b; -- FIXED => 0 . 5e8b (0 . 24203) FLOAT +0.184654
064 : 317a2; -- FIXED => 1 . 117a2 (1 . 71586) FLOAT +1.546158
065 : 06121; -- FIXED => 0 . 6121 (0 . 24865) FLOAT +0.189705
065 : 31848; -- FIXED => 1 . 11848 (1 . 71752) FLOAT +1.547424
066 : 063b7; -- FIXED => 0 . 63b7 (0 . 25527) FLOAT +0.194756
066 : 318ed; -- FIXED => 1 . 118ed (1 . 71917) FLOAT +1.548683
067 : 0664c; -- FIXED => 0 . 664c (0 . 26188) FLOAT +0.199799
067 : 31993; -- FIXED => 1 . 11993 (1 . 72083) FLOAT +1.549950
068 : 068e0; -- FIXED => 0 . 68e0 (0 . 26848) FLOAT +0.204834
068 : 31a38; -- FIXED => 1 . 11a38 (1 . 72248) FLOAT +1.551208
069 : 06b74; -- FIXED => 0 . 6b74 (0 . 27508) FLOAT +0.209869
069 : 31add; -- FIXED => 1 . 11add (1 . 72413) FLOAT +1.552467
06a : 06e07; -- FIXED => 0 . 6e07 (0 . 28167) FLOAT +0.214897
06a : 31b81; -- FIXED => 1 . 11b81 (1 . 72577) FLOAT +1.553719
06b : 0709a; -- FIXED => 0 . 709a (0 . 28826) FLOAT +0.219925
06b : 31c26; -- FIXED => 1 . 11c26 (1 . 72742) FLOAT +1.554977
06c : 0732c; -- FIXED => 0 . 732c (0 . 29484) FLOAT +0.224945
06c : 31ccb; -- FIXED => 1 . 11ccb (1 . 72907) FLOAT +1.556236
06d : 075be; -- FIXED => 0 . 75be (0 . 30142) FLOAT +0.229965
06d : 31d6f; -- FIXED => 1 . 11d6f (1 . 73071) FLOAT +1.557487
06e : 0784f; -- FIXED => 0 . 784f (0 . 30799) FLOAT +0.234978
06e : 31e13; -- FIXED => 1 . 11e13 (1 . 73235) FLOAT +1.558739
06f : 07ae0; -- FIXED => 0 . 7ae0 (0 . 31456) FLOAT +0.239990
06f : 31eb8; -- FIXED => 1 . 11eb8 (1 . 73400) FLOAT +1.559998
070 : 07d70; -- FIXED => 0 . 7d70 (0 . 32112) FLOAT +0.244995
070 : 31f5c; -- FIXED => 1 . 11f5c (1 . 73564) FLOAT +1.561249
071 : 08000; -- FIXED => 0 . 8000 (0 . 32768) FLOAT +0.250000
071 : 32000; -- FIXED => 1 . 12000 (1 . 73728) FLOAT +1.562500
072 : 0828f; -- FIXED => 0 . 828f (0 . 33423) FLOAT +0.254997
072 : 320a3; -- FIXED => 1 . 120a3 (1 . 73891) FLOAT +1.563744
073 : 0851d; -- FIXED => 0 . 851d (0 . 34077) FLOAT +0.259987
073 : 32147; -- FIXED => 1 . 12147 (1 . 74055) FLOAT +1.564995
074 : 087ab; -- FIXED => 0 . 87ab (0 . 34731) FLOAT +0.264977
074 : 321ea; -- FIXED => 1 . 121ea (1 . 74218) FLOAT +1.566238
075 : 08a39; -- FIXED => 0 . 8a39 (0 . 35385) FLOAT +0.269966
075 : 3228e; -- FIXED => 1 . 1228e (1 . 74382) FLOAT +1.567490
076 : 08cc6; -- FIXED => 0 . 8cc6 (0 . 36038) FLOAT +0.274948
076 : 32331; -- FIXED => 1 . 12331 (1 . 74545) FLOAT +1.568733
077 : 08f52; -- FIXED => 0 . 8f52 (0 . 36690) FLOAT +0.279922
077 : 323d4; -- FIXED => 1 . 123d4 (1 . 74708) FLOAT +1.569977
078 : 091de; -- FIXED => 0 . 91de (0 . 37342) FLOAT +0.284897
078 : 32477; -- FIXED => 1 . 12477 (1 . 74871) FLOAT +1.571220
079 : 0946a; -- FIXED => 0 . 946a (0 . 37994) FLOAT +0.289871
079 : 3251a; -- FIXED => 1 . 1251a (1 . 75034) FLOAT +1.572464
07a : 096f5; -- FIXED => 0 . 96f5 (0 . 38645) FLOAT +0.294838
07a : 325bd; -- FIXED => 1 . 125bd (1 . 75197) FLOAT +1.573708
07b : 0997f; -- FIXED => 0 . 997f (0 . 39295) FLOAT +0.299797
07b : 3265f; -- FIXED => 1 . 1265f (1 . 75359) FLOAT +1.574944
07c : 09c09; -- FIXED => 0 . 9c09 (0 . 39945) FLOAT +0.304756
07c : 32702; -- FIXED => 1 . 12702 (1 . 75522) FLOAT +1.576187
07d : 09e92; -- FIXED => 0 . 9e92 (0 . 40594) FLOAT +0.309708
07d : 327a4; -- FIXED => 1 . 127a4 (1 . 75684) FLOAT +1.577423
07e : 0a11b; -- FIXED => 0 . a11b (0 . 41243) FLOAT +0.314659
07e : 32846; -- FIXED => 1 . 12846 (1 . 75846) FLOAT +1.578659
07f : 0a3a4; -- FIXED => 0 . a3a4 (0 . 41892) FLOAT +0.319611
07f : 328e9; -- FIXED => 1 . 128e9 (1 . 76009) FLOAT +1.579903
080 : 0a62c; -- FIXED => 0 . a62c (0 . 42540) FLOAT +0.324554
080 : 3298b; -- FIXED => 1 . 1298b (1 . 76171) FLOAT +1.581139
081 : 0a8b3; -- FIXED => 0 . a8b3 (0 . 43187) FLOAT +0.329491
081 : 32a2c; -- FIXED => 1 . 12a2c (1 . 76332) FLOAT +1.582367
082 : 0ab3a; -- FIXED => 0 . ab3a (0 . 43834) FLOAT +0.334427
082 : 32ace; -- FIXED => 1 . 12ace (1 . 76494) FLOAT +1.583603
083 : 0adc0; -- FIXED => 0 . adc0 (0 . 44480) FLOAT +0.339355
083 : 32b70; -- FIXED => 1 . 12b70 (1 . 76656) FLOAT +1.584839
084 : 0b046; -- FIXED => 0 . b046 (0 . 45126) FLOAT +0.344284
084 : 32c11; -- FIXED => 1 . 12c11 (1 . 76817) FLOAT +1.586067
085 : 0b2cc; -- FIXED => 0 . b2cc (0 . 45772) FLOAT +0.349213
085 : 32cb3; -- FIXED => 1 . 12cb3 (1 . 76979) FLOAT +1.587303
086 : 0b550; -- FIXED => 0 . b550 (0 . 46416) FLOAT +0.354126
086 : 32d54; -- FIXED => 1 . 12d54 (1 . 77140) FLOAT +1.588531
087 : 0b7d5; -- FIXED => 0 . b7d5 (0 . 47061) FLOAT +0.359047
087 : 32df5; -- FIXED => 1 . 12df5 (1 . 77301) FLOAT +1.589760
088 : 0ba59; -- FIXED => 0 . ba59 (0 . 47705) FLOAT +0.363960
088 : 32e96; -- FIXED => 1 . 12e96 (1 . 77462) FLOAT +1.590988
089 : 0bcdc; -- FIXED => 0 . bcdc (0 . 48348) FLOAT +0.368866
089 : 32f37; -- FIXED => 1 . 12f37 (1 . 77623) FLOAT +1.592216
08a : 0bf5f; -- FIXED => 0 . bf5f (0 . 48991) FLOAT +0.373772
08a : 32fd7; -- FIXED => 1 . 12fd7 (1 . 77783) FLOAT +1.593437
08b : 0c1e1; -- FIXED => 0 . c1e1 (0 . 49633) FLOAT +0.378670
08b : 33078; -- FIXED => 1 . 13078 (1 . 77944) FLOAT +1.594666
08c : 0c463; -- FIXED => 0 . c463 (0 . 50275) FLOAT +0.383568
08c : 33118; -- FIXED => 1 . 13118 (1 . 78104) FLOAT +1.595886
08d : 0c6e5; -- FIXED => 0 . c6e5 (0 . 50917) FLOAT +0.388466
08d : 331b9; -- FIXED => 1 . 131b9 (1 . 78265) FLOAT +1.597115
08e : 0c965; -- FIXED => 0 . c965 (0 . 51557) FLOAT +0.393349
08e : 33259; -- FIXED => 1 . 13259 (1 . 78425) FLOAT +1.598335
08f : 0cbe6; -- FIXED => 0 . cbe6 (0 . 52198) FLOAT +0.398239
08f : 332f9; -- FIXED => 1 . 132f9 (1 . 78585) FLOAT +1.599556
090 : 0ce66; -- FIXED => 0 . ce66 (0 . 52838) FLOAT +0.403122
090 : 33399; -- FIXED => 1 . 13399 (1 . 78745) FLOAT +1.600777
091 : 0d0e5; -- FIXED => 0 . d0e5 (0 . 53477) FLOAT +0.407997
091 : 33439; -- FIXED => 1 . 13439 (1 . 78905) FLOAT +1.601997
092 : 0d364; -- FIXED => 0 . d364 (0 . 54116) FLOAT +0.412872
092 : 334d9; -- FIXED => 1 . 134d9 (1 . 79065) FLOAT +1.603218
093 : 0d5e3; -- FIXED => 0 . d5e3 (0 . 54755) FLOAT +0.417747
093 : 33578; -- FIXED => 1 . 13578 (1 . 79224) FLOAT +1.604431
094 : 0d861; -- FIXED => 0 . d861 (0 . 55393) FLOAT +0.422615
094 : 33618; -- FIXED => 1 . 13618 (1 . 79384) FLOAT +1.605652
095 : 0dade; -- FIXED => 0 . dade (0 . 56030) FLOAT +0.427475
095 : 336b7; -- FIXED => 1 . 136b7 (1 . 79543) FLOAT +1.606865
096 : 0dd5b; -- FIXED => 0 . dd5b (0 . 56667) FLOAT +0.432335
096 : 33756; -- FIXED => 1 . 13756 (1 . 79702) FLOAT +1.608078
097 : 0dfd8; -- FIXED => 0 . dfd8 (0 . 57304) FLOAT +0.437195
097 : 337f6; -- FIXED => 1 . 137f6 (1 . 79862) FLOAT +1.609299
098 : 0e254; -- FIXED => 0 . e254 (0 . 57940) FLOAT +0.442047
098 : 33895; -- FIXED => 1 . 13895 (1 . 80021) FLOAT +1.610512
099 : 0e4cf; -- FIXED => 0 . e4cf (0 . 58575) FLOAT +0.446892
099 : 33933; -- FIXED => 1 . 13933 (1 . 80179) FLOAT +1.611717
09a : 0e74b; -- FIXED => 0 . e74b (0 . 59211) FLOAT +0.451744
09a : 339d2; -- FIXED => 1 . 139d2 (1 . 80338) FLOAT +1.612930
09b : 0e9c5; -- FIXED => 0 . e9c5 (0 . 59845) FLOAT +0.456581
09b : 33a71; -- FIXED => 1 . 13a71 (1 . 80497) FLOAT +1.614143
09c : 0ec3f; -- FIXED => 0 . ec3f (0 . 60479) FLOAT +0.461418
09c : 33b0f; -- FIXED => 1 . 13b0f (1 . 80655) FLOAT +1.615349
09d : 0eeb9; -- FIXED => 0 . eeb9 (0 . 61113) FLOAT +0.466255
09d : 33bae; -- FIXED => 1 . 13bae (1 . 80814) FLOAT +1.616562
09e : 0f132; -- FIXED => 0 . f132 (0 . 61746) FLOAT +0.471085
09e : 33c4c; -- FIXED => 1 . 13c4c (1 . 80972) FLOAT +1.617767
09f : 0f3ab; -- FIXED => 0 . f3ab (0 . 62379) FLOAT +0.475914
09f : 33cea; -- FIXED => 1 . 13cea (1 . 81130) FLOAT +1.618973
0a0 : 0f623; -- FIXED => 0 . f623 (0 . 63011) FLOAT +0.480736
0a0 : 33d88; -- FIXED => 1 . 13d88 (1 . 81288) FLOAT +1.620178
0a1 : 0f89b; -- FIXED => 0 . f89b (0 . 63643) FLOAT +0.485558
0a1 : 33e26; -- FIXED => 1 . 13e26 (1 . 81446) FLOAT +1.621384
0a2 : 0fb12; -- FIXED => 0 . fb12 (0 . 64274) FLOAT +0.490372
0a2 : 33ec4; -- FIXED => 1 . 13ec4 (1 . 81604) FLOAT +1.622589
0a3 : 0fd89; -- FIXED => 0 . fd89 (0 . 64905) FLOAT +0.495186
0a3 : 33f62; -- FIXED => 1 . 13f62 (1 . 81762) FLOAT +1.623795
0a4 : 10000; -- FIXED => 0 . 10000 (0 . 65536) FLOAT +0.500000
0a4 : 34000; -- FIXED => 1 . 14000 (1 . 81920) FLOAT +1.625000
0a5 : 10275; -- FIXED => 0 . 10275 (0 . 66165) FLOAT +0.504799
0a5 : 3409d; -- FIXED => 1 . 1409d (1 . 82077) FLOAT +1.626198
0a6 : 104eb; -- FIXED => 0 . 104eb (0 . 66795) FLOAT +0.509605
0a6 : 3413a; -- FIXED => 1 . 1413a (1 . 82234) FLOAT +1.627396
0a7 : 10760; -- FIXED => 0 . 10760 (0 . 67424) FLOAT +0.514404
0a7 : 341d8; -- FIXED => 1 . 141d8 (1 . 82392) FLOAT +1.628601
0a8 : 109d4; -- FIXED => 0 . 109d4 (0 . 68052) FLOAT +0.519196
0a8 : 34275; -- FIXED => 1 . 14275 (1 . 82549) FLOAT +1.629799
0a9 : 10c48; -- FIXED => 0 . 10c48 (0 . 68680) FLOAT +0.523987
0a9 : 34312; -- FIXED => 1 . 14312 (1 . 82706) FLOAT +1.630997
0aa : 10ebc; -- FIXED => 0 . 10ebc (0 . 69308) FLOAT +0.528778
0aa : 343af; -- FIXED => 1 . 143af (1 . 82863) FLOAT +1.632195
0ab : 1112f; -- FIXED => 0 . 1112f (0 . 69935) FLOAT +0.533562
0ab : 3444b; -- FIXED => 1 . 1444b (1 . 83019) FLOAT +1.633385
0ac : 113a2; -- FIXED => 0 . 113a2 (0 . 70562) FLOAT +0.538345
0ac : 344e8; -- FIXED => 1 . 144e8 (1 . 83176) FLOAT +1.634583
0ad : 11614; -- FIXED => 0 . 11614 (0 . 71188) FLOAT +0.543121
0ad : 34585; -- FIXED => 1 . 14585 (1 . 83333) FLOAT +1.635780
0ae : 11886; -- FIXED => 0 . 11886 (0 . 71814) FLOAT +0.547897
0ae : 34621; -- FIXED => 1 . 14621 (1 . 83489) FLOAT +1.636971
0af : 11af7; -- FIXED => 0 . 11af7 (0 . 72439) FLOAT +0.552666
0af : 346bd; -- FIXED => 1 . 146bd (1 . 83645) FLOAT +1.638161
0b0 : 11d68; -- FIXED => 0 . 11d68 (0 . 73064) FLOAT +0.557434
0b0 : 3475a; -- FIXED => 1 . 1475a (1 . 83802) FLOAT +1.639359
0b1 : 11fd9; -- FIXED => 0 . 11fd9 (0 . 73689) FLOAT +0.562202
0b1 : 347f6; -- FIXED => 1 . 147f6 (1 . 83958) FLOAT +1.640549
0b2 : 12248; -- FIXED => 0 . 12248 (0 . 74312) FLOAT +0.566956
0b2 : 34892; -- FIXED => 1 . 14892 (1 . 84114) FLOAT +1.641739
0b3 : 124b8; -- FIXED => 0 . 124b8 (0 . 74936) FLOAT +0.571716
0b3 : 3492e; -- FIXED => 1 . 1492e (1 . 84270) FLOAT +1.642929
0b4 : 12727; -- FIXED => 0 . 12727 (0 . 75559) FLOAT +0.576469
0b4 : 349c9; -- FIXED => 1 . 149c9 (1 . 84425) FLOAT +1.644112
0b5 : 12996; -- FIXED => 0 . 12996 (0 . 76182) FLOAT +0.581223
0b5 : 34a65; -- FIXED => 1 . 14a65 (1 . 84581) FLOAT +1.645302
0b6 : 12c04; -- FIXED => 0 . 12c04 (0 . 76804) FLOAT +0.585968
0b6 : 34b01; -- FIXED => 1 . 14b01 (1 . 84737) FLOAT +1.646492
0b7 : 12e71; -- FIXED => 0 . 12e71 (0 . 77425) FLOAT +0.590706
0b7 : 34b9c; -- FIXED => 1 . 14b9c (1 . 84892) FLOAT +1.647675
0b8 : 130df; -- FIXED => 0 . 130df (0 . 78047) FLOAT +0.595451
0b8 : 34c37; -- FIXED => 1 . 14c37 (1 . 85047) FLOAT +1.648857
0b9 : 1334c; -- FIXED => 0 . 1334c (0 . 78668) FLOAT +0.600189
0b9 : 34cd3; -- FIXED => 1 . 14cd3 (1 . 85203) FLOAT +1.650047
0ba : 135b8; -- FIXED => 0 . 135b8 (0 . 79288) FLOAT +0.604919
0ba : 34d6e; -- FIXED => 1 . 14d6e (1 . 85358) FLOAT +1.651230
0bb : 13824; -- FIXED => 0 . 13824 (0 . 79908) FLOAT +0.609650
0bb : 34e09; -- FIXED => 1 . 14e09 (1 . 85513) FLOAT +1.652412
0bc : 13a8f; -- FIXED => 0 . 13a8f (0 . 80527) FLOAT +0.614372
0bc : 34ea3; -- FIXED => 1 . 14ea3 (1 . 85667) FLOAT +1.653587
0bd : 13cfa; -- FIXED => 0 . 13cfa (0 . 81146) FLOAT +0.619095
0bd : 34f3e; -- FIXED => 1 . 14f3e (1 . 85822) FLOAT +1.654770
0be : 13f65; -- FIXED => 0 . 13f65 (0 . 81765) FLOAT +0.623817
0be : 34fd9; -- FIXED => 1 . 14fd9 (1 . 85977) FLOAT +1.655952
0bf : 141cf; -- FIXED => 0 . 141cf (0 . 82383) FLOAT +0.628532
0bf : 35073; -- FIXED => 1 . 15073 (1 . 86131) FLOAT +1.657127
0c0 : 14439; -- FIXED => 0 . 14439 (0 . 83001) FLOAT +0.633247
0c0 : 3510e; -- FIXED => 1 . 1510e (1 . 86286) FLOAT +1.658310
0c1 : 146a2; -- FIXED => 0 . 146a2 (0 . 83618) FLOAT +0.637955
0c1 : 351a8; -- FIXED => 1 . 151a8 (1 . 86440) FLOAT +1.659485
0c2 : 1490b; -- FIXED => 0 . 1490b (0 . 84235) FLOAT +0.642662
0c2 : 35242; -- FIXED => 1 . 15242 (1 . 86594) FLOAT +1.660660
0c3 : 14b73; -- FIXED => 0 . 14b73 (0 . 84851) FLOAT +0.647362
0c3 : 352dc; -- FIXED => 1 . 152dc (1 . 86748) FLOAT +1.661835
0c4 : 14ddb; -- FIXED => 0 . 14ddb (0 . 85467) FLOAT +0.652061
0c4 : 35376; -- FIXED => 1 . 15376 (1 . 86902) FLOAT +1.663010
0c5 : 15043; -- FIXED => 0 . 15043 (0 . 86083) FLOAT +0.656761
0c5 : 35410; -- FIXED => 1 . 15410 (1 . 87056) FLOAT +1.664185
0c6 : 152aa; -- FIXED => 0 . 152aa (0 . 86698) FLOAT +0.661453
0c6 : 354aa; -- FIXED => 1 . 154aa (1 . 87210) FLOAT +1.665359
0c7 : 15511; -- FIXED => 0 . 15511 (0 . 87313) FLOAT +0.666145
0c7 : 35544; -- FIXED => 1 . 15544 (1 . 87364) FLOAT +1.666534
0c8 : 15777; -- FIXED => 0 . 15777 (0 . 87927) FLOAT +0.670830
0c8 : 355dd; -- FIXED => 1 . 155dd (1 . 87517) FLOAT +1.667702
0c9 : 159dd; -- FIXED => 0 . 159dd (0 . 88541) FLOAT +0.675514
0c9 : 35677; -- FIXED => 1 . 15677 (1 . 87671) FLOAT +1.668877
0ca : 15c42; -- FIXED => 0 . 15c42 (0 . 89154) FLOAT +0.680191
0ca : 35710; -- FIXED => 1 . 15710 (1 . 87824) FLOAT +1.670044
0cb : 15ea7; -- FIXED => 0 . 15ea7 (0 . 89767) FLOAT +0.684868
0cb : 357a9; -- FIXED => 1 . 157a9 (1 . 87977) FLOAT +1.671211
0cc : 1610b; -- FIXED => 0 . 1610b (0 . 90379) FLOAT +0.689537
0cc : 35842; -- FIXED => 1 . 15842 (1 . 88130) FLOAT +1.672379
0cd : 16370; -- FIXED => 0 . 16370 (0 . 90992) FLOAT +0.694214
0cd : 358dc; -- FIXED => 1 . 158dc (1 . 88284) FLOAT +1.673553
0ce : 165d3; -- FIXED => 0 . 165d3 (0 . 91603) FLOAT +0.698875
0ce : 35974; -- FIXED => 1 . 15974 (1 . 88436) FLOAT +1.674713
0cf : 16836; -- FIXED => 0 . 16836 (0 . 92214) FLOAT +0.703537
0cf : 35a0d; -- FIXED => 1 . 15a0d (1 . 88589) FLOAT +1.675880
0d0 : 16a99; -- FIXED => 0 . 16a99 (0 . 92825) FLOAT +0.708199
0d0 : 35aa6; -- FIXED => 1 . 15aa6 (1 . 88742) FLOAT +1.677048
0d1 : 16cfc; -- FIXED => 0 . 16cfc (0 . 93436) FLOAT +0.712860
0d1 : 35b3f; -- FIXED => 1 . 15b3f (1 . 88895) FLOAT +1.678215
0d2 : 16f5e; -- FIXED => 0 . 16f5e (0 . 94046) FLOAT +0.717514
0d2 : 35bd7; -- FIXED => 1 . 15bd7 (1 . 89047) FLOAT +1.679375
0d3 : 171bf; -- FIXED => 0 . 171bf (0 . 94655) FLOAT +0.722160
0d3 : 35c6f; -- FIXED => 1 . 15c6f (1 . 89199) FLOAT +1.680534
0d4 : 17420; -- FIXED => 0 . 17420 (0 . 95264) FLOAT +0.726807
0d4 : 35d08; -- FIXED => 1 . 15d08 (1 . 89352) FLOAT +1.681702
0d5 : 17681; -- FIXED => 0 . 17681 (0 . 95873) FLOAT +0.731453
0d5 : 35da0; -- FIXED => 1 . 15da0 (1 . 89504) FLOAT +1.682861
0d6 : 178e1; -- FIXED => 0 . 178e1 (0 . 96481) FLOAT +0.736092
0d6 : 35e38; -- FIXED => 1 . 15e38 (1 . 89656) FLOAT +1.684021
0d7 : 17b41; -- FIXED => 0 . 17b41 (0 . 97089) FLOAT +0.740730
0d7 : 35ed0; -- FIXED => 1 . 15ed0 (1 . 89808) FLOAT +1.685181
0d8 : 17da1; -- FIXED => 0 . 17da1 (0 . 97697) FLOAT +0.745369
0d8 : 35f68; -- FIXED => 1 . 15f68 (1 . 89960) FLOAT +1.686340
0d9 : 18000; -- FIXED => 0 . 18000 (0 . 98304) FLOAT +0.750000
0d9 : 36000; -- FIXED => 1 . 16000 (1 . 90112) FLOAT +1.687500
0da : 1825e; -- FIXED => 0 . 1825e (0 . 98910) FLOAT +0.754623
0da : 36097; -- FIXED => 1 . 16097 (1 . 90263) FLOAT +1.688652
0db : 184bc; -- FIXED => 0 . 184bc (0 . 99516) FLOAT +0.759247
0db : 3612f; -- FIXED => 1 . 1612f (1 . 90415) FLOAT +1.689812
0dc : 1871a; -- FIXED => 0 . 1871a (0 . 100122) FLOAT +0.763870
0dc : 361c6; -- FIXED => 1 . 161c6 (1 . 90566) FLOAT +1.690964
0dd : 18977; -- FIXED => 0 . 18977 (0 . 100727) FLOAT +0.768486
0dd : 3625d; -- FIXED => 1 . 1625d (1 . 90717) FLOAT +1.692116
0de : 18bd4; -- FIXED => 0 . 18bd4 (0 . 101332) FLOAT +0.773102
0de : 362f5; -- FIXED => 1 . 162f5 (1 . 90869) FLOAT +1.693275
0df : 18e31; -- FIXED => 0 . 18e31 (0 . 101937) FLOAT +0.777718
0df : 3638c; -- FIXED => 1 . 1638c (1 . 91020) FLOAT +1.694427
0e0 : 1908d; -- FIXED => 0 . 1908d (0 . 102541) FLOAT +0.782326
0e0 : 36423; -- FIXED => 1 . 16423 (1 . 91171) FLOAT +1.695580
0e1 : 192e9; -- FIXED => 0 . 192e9 (0 . 103145) FLOAT +0.786934
0e1 : 364ba; -- FIXED => 1 . 164ba (1 . 91322) FLOAT +1.696732
0e2 : 19544; -- FIXED => 0 . 19544 (0 . 103748) FLOAT +0.791534
0e2 : 36551; -- FIXED => 1 . 16551 (1 . 91473) FLOAT +1.697884
0e3 : 1979f; -- FIXED => 0 . 1979f (0 . 104351) FLOAT +0.796135
0e3 : 365e7; -- FIXED => 1 . 165e7 (1 . 91623) FLOAT +1.699028
0e4 : 199fa; -- FIXED => 0 . 199fa (0 . 104954) FLOAT +0.800735
0e4 : 3667e; -- FIXED => 1 . 1667e (1 . 91774) FLOAT +1.700180
0e5 : 19c54; -- FIXED => 0 . 19c54 (0 . 105556) FLOAT +0.805328
0e5 : 36715; -- FIXED => 1 . 16715 (1 . 91925) FLOAT +1.701332
0e6 : 19ead; -- FIXED => 0 . 19ead (0 . 106157) FLOAT +0.809914
0e6 : 367ab; -- FIXED => 1 . 167ab (1 . 92075) FLOAT +1.702477
0e7 : 1a107; -- FIXED => 0 . 1a107 (0 . 106759) FLOAT +0.814507
0e7 : 36841; -- FIXED => 1 . 16841 (1 . 92225) FLOAT +1.703621
0e8 : 1a35f; -- FIXED => 0 . 1a35f (0 . 107359) FLOAT +0.819084
0e8 : 368d7; -- FIXED => 1 . 168d7 (1 . 92375) FLOAT +1.704765
0e9 : 1a5b8; -- FIXED => 0 . 1a5b8 (0 . 107960) FLOAT +0.823669
0e9 : 3696e; -- FIXED => 1 . 1696e (1 . 92526) FLOAT +1.705917
0ea : 1a810; -- FIXED => 0 . 1a810 (0 . 108560) FLOAT +0.828247
0ea : 36a04; -- FIXED => 1 . 16a04 (1 . 92676) FLOAT +1.707062
0eb : 1aa68; -- FIXED => 0 . 1aa68 (0 . 109160) FLOAT +0.832825
0eb : 36a9a; -- FIXED => 1 . 16a9a (1 . 92826) FLOAT +1.708206
0ec : 1acbf; -- FIXED => 0 . 1acbf (0 . 109759) FLOAT +0.837395
0ec : 36b2f; -- FIXED => 1 . 16b2f (1 . 92975) FLOAT +1.709343
0ed : 1af16; -- FIXED => 0 . 1af16 (0 . 110358) FLOAT +0.841965
0ed : 36bc5; -- FIXED => 1 . 16bc5 (1 . 93125) FLOAT +1.710487
0ee : 1b16c; -- FIXED => 0 . 1b16c (0 . 110956) FLOAT +0.846527
0ee : 36c5b; -- FIXED => 1 . 16c5b (1 . 93275) FLOAT +1.711632
0ef : 1b3c2; -- FIXED => 0 . 1b3c2 (0 . 111554) FLOAT +0.851089
0ef : 36cf0; -- FIXED => 1 . 16cf0 (1 . 93424) FLOAT +1.712769
0f0 : 1b618; -- FIXED => 0 . 1b618 (0 . 112152) FLOAT +0.855652
0f0 : 36d86; -- FIXED => 1 . 16d86 (1 . 93574) FLOAT +1.713913
0f1 : 1b86d; -- FIXED => 0 . 1b86d (0 . 112749) FLOAT +0.860207
0f1 : 36e1b; -- FIXED => 1 . 16e1b (1 . 93723) FLOAT +1.715050
0f2 : 1bac2; -- FIXED => 0 . 1bac2 (0 . 113346) FLOAT +0.864761
0f2 : 36eb0; -- FIXED => 1 . 16eb0 (1 . 93872) FLOAT +1.716187
0f3 : 1bd16; -- FIXED => 0 . 1bd16 (0 . 113942) FLOAT +0.869308
0f3 : 36f45; -- FIXED => 1 . 16f45 (1 . 94021) FLOAT +1.717323
0f4 : 1bf6b; -- FIXED => 0 . 1bf6b (0 . 114539) FLOAT +0.873863
0f4 : 36fda; -- FIXED => 1 . 16fda (1 . 94170) FLOAT +1.718460
0f5 : 1c1be; -- FIXED => 0 . 1c1be (0 . 115134) FLOAT +0.878403
0f5 : 3706f; -- FIXED => 1 . 1706f (1 . 94319) FLOAT +1.719597
0f6 : 1c412; -- FIXED => 0 . 1c412 (0 . 115730) FLOAT +0.882950
0f6 : 37104; -- FIXED => 1 . 17104 (1 . 94468) FLOAT +1.720734
0f7 : 1c664; -- FIXED => 0 . 1c664 (0 . 116324) FLOAT +0.887482
0f7 : 37199; -- FIXED => 1 . 17199 (1 . 94617) FLOAT +1.721870
0f8 : 1c8b7; -- FIXED => 0 . 1c8b7 (0 . 116919) FLOAT +0.892021
0f8 : 3722d; -- FIXED => 1 . 1722d (1 . 94765) FLOAT +1.723000
0f9 : 1cb09; -- FIXED => 0 . 1cb09 (0 . 117513) FLOAT +0.896553
0f9 : 372c2; -- FIXED => 1 . 172c2 (1 . 94914) FLOAT +1.724136
0fa : 1cd5b; -- FIXED => 0 . 1cd5b (0 . 118107) FLOAT +0.901085
0fa : 37356; -- FIXED => 1 . 17356 (1 . 95062) FLOAT +1.725266
0fb : 1cfac; -- FIXED => 0 . 1cfac (0 . 118700) FLOAT +0.905609
0fb : 373eb; -- FIXED => 1 . 173eb (1 . 95211) FLOAT +1.726402
0fc : 1d1fd; -- FIXED => 0 . 1d1fd (0 . 119293) FLOAT +0.910133
0fc : 3747f; -- FIXED => 1 . 1747f (1 . 95359) FLOAT +1.727531
0fd : 1d44e; -- FIXED => 0 . 1d44e (0 . 119886) FLOAT +0.914658
0fd : 37513; -- FIXED => 1 . 17513 (1 . 95507) FLOAT +1.728661
0fe : 1d69e; -- FIXED => 0 . 1d69e (0 . 120478) FLOAT +0.919174
0fe : 375a7; -- FIXED => 1 . 175a7 (1 . 95655) FLOAT +1.729790
0ff : 1d8ee; -- FIXED => 0 . 1d8ee (0 . 121070) FLOAT +0.923691
0ff : 3763b; -- FIXED => 1 . 1763b (1 . 95803) FLOAT +1.730919
100 : 1db3d; -- FIXED => 0 . 1db3d (0 . 121661) FLOAT +0.928200
100 : 376cf; -- FIXED => 1 . 176cf (1 . 95951) FLOAT +1.732048
101 : 1dd8c; -- FIXED => 0 . 1dd8c (0 . 122252) FLOAT +0.932709
101 : 37763; -- FIXED => 1 . 17763 (1 . 96099) FLOAT +1.733177
102 : 1dfdb; -- FIXED => 0 . 1dfdb (0 . 122843) FLOAT +0.937218
102 : 377f6; -- FIXED => 1 . 177f6 (1 . 96246) FLOAT +1.734299
103 : 1e229; -- FIXED => 0 . 1e229 (0 . 123433) FLOAT +0.941719
103 : 3788a; -- FIXED => 1 . 1788a (1 . 96394) FLOAT +1.735428
104 : 1e477; -- FIXED => 0 . 1e477 (0 . 124023) FLOAT +0.946220
104 : 3791d; -- FIXED => 1 . 1791d (1 . 96541) FLOAT +1.736549
105 : 1e6c4; -- FIXED => 0 . 1e6c4 (0 . 124612) FLOAT +0.950714
105 : 379b1; -- FIXED => 1 . 179b1 (1 . 96689) FLOAT +1.737679
106 : 1e911; -- FIXED => 0 . 1e911 (0 . 125201) FLOAT +0.955208
106 : 37a44; -- FIXED => 1 . 17a44 (1 . 96836) FLOAT +1.738800
107 : 1eb5e; -- FIXED => 0 . 1eb5e (0 . 125790) FLOAT +0.959702
107 : 37ad7; -- FIXED => 1 . 17ad7 (1 . 96983) FLOAT +1.739922
108 : 1edaa; -- FIXED => 0 . 1edaa (0 . 126378) FLOAT +0.964188
108 : 37b6a; -- FIXED => 1 . 17b6a (1 . 97130) FLOAT +1.741043
109 : 1eff6; -- FIXED => 0 . 1eff6 (0 . 126966) FLOAT +0.968674
109 : 37bfd; -- FIXED => 1 . 17bfd (1 . 97277) FLOAT +1.742165
10a : 1f242; -- FIXED => 0 . 1f242 (0 . 127554) FLOAT +0.973160
10a : 37c90; -- FIXED => 1 . 17c90 (1 . 97424) FLOAT +1.743286
10b : 1f48d; -- FIXED => 0 . 1f48d (0 . 128141) FLOAT +0.977638
10b : 37d23; -- FIXED => 1 . 17d23 (1 . 97571) FLOAT +1.744408
10c : 1f6d8; -- FIXED => 0 . 1f6d8 (0 . 128728) FLOAT +0.982117
10c : 37db6; -- FIXED => 1 . 17db6 (1 . 97718) FLOAT +1.745529
10d : 1f922; -- FIXED => 0 . 1f922 (0 . 129314) FLOAT +0.986588
10d : 37e48; -- FIXED => 1 . 17e48 (1 . 97864) FLOAT +1.746643
10e : 1fb6c; -- FIXED => 0 . 1fb6c (0 . 129900) FLOAT +0.991058
10e : 37edb; -- FIXED => 1 . 17edb (1 . 98011) FLOAT +1.747765
10f : 1fdb6; -- FIXED => 0 . 1fdb6 (0 . 130486) FLOAT +0.995529
10f : 37f6d; -- FIXED => 1 . 17f6d (1 . 98157) FLOAT +1.748878
110 : 20000; -- FIXED => 1 . 0 (1 . 0) FLOAT +1.000000
110 : 38000; -- FIXED => 1 . 18000 (1 . 98304) FLOAT +1.750000
111 : 20248; -- FIXED => 1 . 248 (1 . 584) FLOAT +1.004456
111 : 38092; -- FIXED => 1 . 18092 (1 . 98450) FLOAT +1.751114
112 : 20491; -- FIXED => 1 . 491 (1 . 1169) FLOAT +1.008919
112 : 38124; -- FIXED => 1 . 18124 (1 . 98596) FLOAT +1.752228
113 : 206d9; -- FIXED => 1 . 6d9 (1 . 1753) FLOAT +1.013374
113 : 381b6; -- FIXED => 1 . 181b6 (1 . 98742) FLOAT +1.753342
114 : 20921; -- FIXED => 1 . 921 (1 . 2337) FLOAT +1.017830
114 : 38248; -- FIXED => 1 . 18248 (1 . 98888) FLOAT +1.754456
115 : 20b69; -- FIXED => 1 . b69 (1 . 2921) FLOAT +1.022285
115 : 382da; -- FIXED => 1 . 182da (1 . 99034) FLOAT +1.755569
116 : 20db0; -- FIXED => 1 . db0 (1 . 3504) FLOAT +1.026733
116 : 3836c; -- FIXED => 1 . 1836c (1 . 99180) FLOAT +1.756683
117 : 20ff6; -- FIXED => 1 . ff6 (1 . 4086) FLOAT +1.031174
117 : 383fd; -- FIXED => 1 . 183fd (1 . 99325) FLOAT +1.757790
118 : 2123d; -- FIXED => 1 . 123d (1 . 4669) FLOAT +1.035622
118 : 3848f; -- FIXED => 1 . 1848f (1 . 99471) FLOAT +1.758904
119 : 21483; -- FIXED => 1 . 1483 (1 . 5251) FLOAT +1.040062
119 : 38520; -- FIXED => 1 . 18520 (1 . 99616) FLOAT +1.760010
11a : 216c8; -- FIXED => 1 . 16c8 (1 . 5832) FLOAT +1.044495
11a : 385b2; -- FIXED => 1 . 185b2 (1 . 99762) FLOAT +1.761124
11b : 2190e; -- FIXED => 1 . 190e (1 . 6414) FLOAT +1.048935
11b : 38643; -- FIXED => 1 . 18643 (1 . 99907) FLOAT +1.762230
11c : 21b53; -- FIXED => 1 . 1b53 (1 . 6995) FLOAT +1.053368
11c : 386d4; -- FIXED => 1 . 186d4 (1 . 100052) FLOAT +1.763336
11d : 21d97; -- FIXED => 1 . 1d97 (1 . 7575) FLOAT +1.057793
11d : 38765; -- FIXED => 1 . 18765 (1 . 100197) FLOAT +1.764442
11e : 21fdb; -- FIXED => 1 . 1fdb (1 . 8155) FLOAT +1.062218
11e : 387f6; -- FIXED => 1 . 187f6 (1 . 100342) FLOAT +1.765549
11f : 2221f; -- FIXED => 1 . 221f (1 . 8735) FLOAT +1.066643
11f : 38887; -- FIXED => 1 . 18887 (1 . 100487) FLOAT +1.766655
120 : 22463; -- FIXED => 1 . 2463 (1 . 9315) FLOAT +1.071068
120 : 38918; -- FIXED => 1 . 18918 (1 . 100632) FLOAT +1.767761
121 : 226a6; -- FIXED => 1 . 26a6 (1 . 9894) FLOAT +1.075485
121 : 389a9; -- FIXED => 1 . 189a9 (1 . 100777) FLOAT +1.768867
122 : 228e8; -- FIXED => 1 . 28e8 (1 . 10472) FLOAT +1.079895
122 : 38a3a; -- FIXED => 1 . 18a3a (1 . 100922) FLOAT +1.769974
123 : 22b2b; -- FIXED => 1 . 2b2b (1 . 11051) FLOAT +1.084312
123 : 38aca; -- FIXED => 1 . 18aca (1 . 101066) FLOAT +1.771072
124 : 22d6d; -- FIXED => 1 . 2d6d (1 . 11629) FLOAT +1.088722
124 : 38b5b; -- FIXED => 1 . 18b5b (1 . 101211) FLOAT +1.772179
125 : 22fae; -- FIXED => 1 . 2fae (1 . 12206) FLOAT +1.093124
125 : 38beb; -- FIXED => 1 . 18beb (1 . 101355) FLOAT +1.773277
126 : 231f0; -- FIXED => 1 . 31f0 (1 . 12784) FLOAT +1.097534
126 : 38c7c; -- FIXED => 1 . 18c7c (1 . 101500) FLOAT +1.774384
127 : 23431; -- FIXED => 1 . 3431 (1 . 13361) FLOAT +1.101936
127 : 38d0c; -- FIXED => 1 . 18d0c (1 . 101644) FLOAT +1.775482
128 : 23671; -- FIXED => 1 . 3671 (1 . 13937) FLOAT +1.106331
128 : 38d9c; -- FIXED => 1 . 18d9c (1 . 101788) FLOAT +1.776581
129 : 238b1; -- FIXED => 1 . 38b1 (1 . 14513) FLOAT +1.110725
129 : 38e2c; -- FIXED => 1 . 18e2c (1 . 101932) FLOAT +1.777679
12a : 23af1; -- FIXED => 1 . 3af1 (1 . 15089) FLOAT +1.115120
12a : 38ebc; -- FIXED => 1 . 18ebc (1 . 102076) FLOAT +1.778778
12b : 23d31; -- FIXED => 1 . 3d31 (1 . 15665) FLOAT +1.119514
12b : 38f4c; -- FIXED => 1 . 18f4c (1 . 102220) FLOAT +1.779877
12c : 23f70; -- FIXED => 1 . 3f70 (1 . 16240) FLOAT +1.123901
12c : 38fdc; -- FIXED => 1 . 18fdc (1 . 102364) FLOAT +1.780975
12d : 241af; -- FIXED => 1 . 41af (1 . 16815) FLOAT +1.128288
12d : 3906b; -- FIXED => 1 . 1906b (1 . 102507) FLOAT +1.782066
12e : 243ed; -- FIXED => 1 . 43ed (1 . 17389) FLOAT +1.132668
12e : 390fb; -- FIXED => 1 . 190fb (1 . 102651) FLOAT +1.783165
12f : 2462b; -- FIXED => 1 . 462b (1 . 17963) FLOAT +1.137047
12f : 3918a; -- FIXED => 1 . 1918a (1 . 102794) FLOAT +1.784256
130 : 24869; -- FIXED => 1 . 4869 (1 . 18537) FLOAT +1.141426
130 : 3921a; -- FIXED => 1 . 1921a (1 . 102938) FLOAT +1.785355
131 : 24aa6; -- FIXED => 1 . 4aa6 (1 . 19110) FLOAT +1.145798
131 : 392a9; -- FIXED => 1 . 192a9 (1 . 103081) FLOAT +1.786446
132 : 24ce3; -- FIXED => 1 . 4ce3 (1 . 19683) FLOAT +1.150169
132 : 39338; -- FIXED => 1 . 19338 (1 . 103224) FLOAT +1.787537
133 : 24f20; -- FIXED => 1 . 4f20 (1 . 20256) FLOAT +1.154541
133 : 393c8; -- FIXED => 1 . 193c8 (1 . 103368) FLOAT +1.788635
134 : 2515c; -- FIXED => 1 . 515c (1 . 20828) FLOAT +1.158905
134 : 39457; -- FIXED => 1 . 19457 (1 . 103511) FLOAT +1.789726
135 : 25398; -- FIXED => 1 . 5398 (1 . 21400) FLOAT +1.163269
135 : 394e6; -- FIXED => 1 . 194e6 (1 . 103654) FLOAT +1.790817
136 : 255d4; -- FIXED => 1 . 55d4 (1 . 21972) FLOAT +1.167633
136 : 39575; -- FIXED => 1 . 19575 (1 . 103797) FLOAT +1.791908
137 : 2580f; -- FIXED => 1 . 580f (1 . 22543) FLOAT +1.171989
137 : 39603; -- FIXED => 1 . 19603 (1 . 103939) FLOAT +1.792992
138 : 25a4a; -- FIXED => 1 . 5a4a (1 . 23114) FLOAT +1.176346
138 : 39692; -- FIXED => 1 . 19692 (1 . 104082) FLOAT +1.794083
139 : 25c85; -- FIXED => 1 . 5c85 (1 . 23685) FLOAT +1.180702
139 : 39721; -- FIXED => 1 . 19721 (1 . 104225) FLOAT +1.795174
13a : 25ebf; -- FIXED => 1 . 5ebf (1 . 24255) FLOAT +1.185051
13a : 397af; -- FIXED => 1 . 197af (1 . 104367) FLOAT +1.796257
13b : 260f9; -- FIXED => 1 . 60f9 (1 . 24825) FLOAT +1.189400
13b : 3983e; -- FIXED => 1 . 1983e (1 . 104510) FLOAT +1.797348
13c : 26332; -- FIXED => 1 . 6332 (1 . 25394) FLOAT +1.193741
13c : 398cc; -- FIXED => 1 . 198cc (1 . 104652) FLOAT +1.798431
13d : 2656c; -- FIXED => 1 . 656c (1 . 25964) FLOAT +1.198090
13d : 3995b; -- FIXED => 1 . 1995b (1 . 104795) FLOAT +1.799522
13e : 267a4; -- FIXED => 1 . 67a4 (1 . 26532) FLOAT +1.202423
13e : 399e9; -- FIXED => 1 . 199e9 (1 . 104937) FLOAT +1.800606
13f : 269dd; -- FIXED => 1 . 69dd (1 . 27101) FLOAT +1.206764
13f : 39a77; -- FIXED => 1 . 19a77 (1 . 105079) FLOAT +1.801689
140 : 26c15; -- FIXED => 1 . 6c15 (1 . 27669) FLOAT +1.211098
140 : 39b05; -- FIXED => 1 . 19b05 (1 . 105221) FLOAT +1.802773
141 : 26e4d; -- FIXED => 1 . 6e4d (1 . 28237) FLOAT +1.215431
141 : 39b93; -- FIXED => 1 . 19b93 (1 . 105363) FLOAT +1.803856
142 : 27085; -- FIXED => 1 . 7085 (1 . 28805) FLOAT +1.219765
142 : 39c21; -- FIXED => 1 . 19c21 (1 . 105505) FLOAT +1.804939
143 : 272bc; -- FIXED => 1 . 72bc (1 . 29372) FLOAT +1.224091
143 : 39caf; -- FIXED => 1 . 19caf (1 . 105647) FLOAT +1.806023
144 : 274f2; -- FIXED => 1 . 74f2 (1 . 29938) FLOAT +1.228409
144 : 39d3c; -- FIXED => 1 . 19d3c (1 . 105788) FLOAT +1.807098
145 : 27729; -- FIXED => 1 . 7729 (1 . 30505) FLOAT +1.232735
145 : 39dca; -- FIXED => 1 . 19dca (1 . 105930) FLOAT +1.808182
146 : 2795f; -- FIXED => 1 . 795f (1 . 31071) FLOAT +1.237053
146 : 39e57; -- FIXED => 1 . 19e57 (1 . 106071) FLOAT +1.809258
147 : 27b95; -- FIXED => 1 . 7b95 (1 . 31637) FLOAT +1.241371
147 : 39ee5; -- FIXED => 1 . 19ee5 (1 . 106213) FLOAT +1.810341
148 : 27dca; -- FIXED => 1 . 7dca (1 . 32202) FLOAT +1.245682
148 : 39f72; -- FIXED => 1 . 19f72 (1 . 106354) FLOAT +1.811417
149 : 28000; -- FIXED => 1 . 8000 (1 . 32768) FLOAT +1.250000
149 : 3a000; -- FIXED => 1 . 1a000 (1 . 106496) FLOAT +1.812500
14a : 28234; -- FIXED => 1 . 8234 (1 . 33332) FLOAT +1.254303
14a : 3a08d; -- FIXED => 1 . 1a08d (1 . 106637) FLOAT +1.813576
14b : 28469; -- FIXED => 1 . 8469 (1 . 33897) FLOAT +1.258614
14b : 3a11a; -- FIXED => 1 . 1a11a (1 . 106778) FLOAT +1.814651
14c : 2869d; -- FIXED => 1 . 869d (1 . 34461) FLOAT +1.262917
14c : 3a1a7; -- FIXED => 1 . 1a1a7 (1 . 106919) FLOAT +1.815727
14d : 288d1; -- FIXED => 1 . 88d1 (1 . 35025) FLOAT +1.267220
14d : 3a234; -- FIXED => 1 . 1a234 (1 . 107060) FLOAT +1.816803
14e : 28b04; -- FIXED => 1 . 8b04 (1 . 35588) FLOAT +1.271515
14e : 3a2c1; -- FIXED => 1 . 1a2c1 (1 . 107201) FLOAT +1.817879
14f : 28d37; -- FIXED => 1 . 8d37 (1 . 36151) FLOAT +1.275810
14f : 3a34d; -- FIXED => 1 . 1a34d (1 . 107341) FLOAT +1.818947
150 : 28f6a; -- FIXED => 1 . 8f6a (1 . 36714) FLOAT +1.280106
150 : 3a3da; -- FIXED => 1 . 1a3da (1 . 107482) FLOAT +1.820023
151 : 2919d; -- FIXED => 1 . 919d (1 . 37277) FLOAT +1.284401
151 : 3a467; -- FIXED => 1 . 1a467 (1 . 107623) FLOAT +1.821098
152 : 293cf; -- FIXED => 1 . 93cf (1 . 37839) FLOAT +1.288689
152 : 3a4f3; -- FIXED => 1 . 1a4f3 (1 . 107763) FLOAT +1.822166
153 : 29600; -- FIXED => 1 . 9600 (1 . 38400) FLOAT +1.292969
153 : 3a580; -- FIXED => 1 . 1a580 (1 . 107904) FLOAT +1.823242
154 : 29832; -- FIXED => 1 . 9832 (1 . 38962) FLOAT +1.297256
154 : 3a60c; -- FIXED => 1 . 1a60c (1 . 108044) FLOAT +1.824310
155 : 29a63; -- FIXED => 1 . 9a63 (1 . 39523) FLOAT +1.301537
155 : 3a698; -- FIXED => 1 . 1a698 (1 . 108184) FLOAT +1.825378
156 : 29c94; -- FIXED => 1 . 9c94 (1 . 40084) FLOAT +1.305817
156 : 3a725; -- FIXED => 1 . 1a725 (1 . 108325) FLOAT +1.826454
157 : 29ec4; -- FIXED => 1 . 9ec4 (1 . 40644) FLOAT +1.310089
157 : 3a7b1; -- FIXED => 1 . 1a7b1 (1 . 108465) FLOAT +1.827522
158 : 2a0f5; -- FIXED => 1 . a0f5 (1 . 41205) FLOAT +1.314369
158 : 3a83d; -- FIXED => 1 . 1a83d (1 . 108605) FLOAT +1.828590
159 : 2a324; -- FIXED => 1 . a324 (1 . 41764) FLOAT +1.318634
159 : 3a8c9; -- FIXED => 1 . 1a8c9 (1 . 108745) FLOAT +1.829659
15a : 2a554; -- FIXED => 1 . a554 (1 . 42324) FLOAT +1.322906
15a : 3a955; -- FIXED => 1 . 1a955 (1 . 108885) FLOAT +1.830727
15b : 2a783; -- FIXED => 1 . a783 (1 . 42883) FLOAT +1.327171
15b : 3a9e0; -- FIXED => 1 . 1a9e0 (1 . 109024) FLOAT +1.831787
15c : 2a9b2; -- FIXED => 1 . a9b2 (1 . 43442) FLOAT +1.331436
15c : 3aa6c; -- FIXED => 1 . 1aa6c (1 . 109164) FLOAT +1.832855
15d : 2abe0; -- FIXED => 1 . abe0 (1 . 44000) FLOAT +1.335693
15d : 3aaf8; -- FIXED => 1 . 1aaf8 (1 . 109304) FLOAT +1.833923
15e : 2ae0f; -- FIXED => 1 . ae0f (1 . 44559) FLOAT +1.339958
15e : 3ab83; -- FIXED => 1 . 1ab83 (1 . 109443) FLOAT +1.834984
15f : 2b03d; -- FIXED => 1 . b03d (1 . 45117) FLOAT +1.344215
15f : 3ac0f; -- FIXED => 1 . 1ac0f (1 . 109583) FLOAT +1.836052
160 : 2b26a; -- FIXED => 1 . b26a (1 . 45674) FLOAT +1.348465
160 : 3ac9a; -- FIXED => 1 . 1ac9a (1 . 109722) FLOAT +1.837112
161 : 2b497; -- FIXED => 1 . b497 (1 . 46231) FLOAT +1.352715
161 : 3ad25; -- FIXED => 1 . 1ad25 (1 . 109861) FLOAT +1.838173
162 : 2b6c4; -- FIXED => 1 . b6c4 (1 . 46788) FLOAT +1.356964
162 : 3adb1; -- FIXED => 1 . 1adb1 (1 . 110001) FLOAT +1.839241
163 : 2b8f1; -- FIXED => 1 . b8f1 (1 . 47345) FLOAT +1.361214
163 : 3ae3c; -- FIXED => 1 . 1ae3c (1 . 110140) FLOAT +1.840302
164 : 2bb1d; -- FIXED => 1 . bb1d (1 . 47901) FLOAT +1.365456
164 : 3aec7; -- FIXED => 1 . 1aec7 (1 . 110279) FLOAT +1.841362
165 : 2bd49; -- FIXED => 1 . bd49 (1 . 48457) FLOAT +1.369698
165 : 3af52; -- FIXED => 1 . 1af52 (1 . 110418) FLOAT +1.842422
166 : 2bf75; -- FIXED => 1 . bf75 (1 . 49013) FLOAT +1.373940
166 : 3afdd; -- FIXED => 1 . 1afdd (1 . 110557) FLOAT +1.843483
167 : 2c1a0; -- FIXED => 1 . c1a0 (1 . 49568) FLOAT +1.378174
167 : 3b068; -- FIXED => 1 . 1b068 (1 . 110696) FLOAT +1.844543
168 : 2c3cb; -- FIXED => 1 . c3cb (1 . 50123) FLOAT +1.382408
168 : 3b0f2; -- FIXED => 1 . 1b0f2 (1 . 110834) FLOAT +1.845596
169 : 2c5f6; -- FIXED => 1 . c5f6 (1 . 50678) FLOAT +1.386642
169 : 3b17d; -- FIXED => 1 . 1b17d (1 . 110973) FLOAT +1.846657
16a : 2c820; -- FIXED => 1 . c820 (1 . 51232) FLOAT +1.390869
16a : 3b208; -- FIXED => 1 . 1b208 (1 . 111112) FLOAT +1.847717
16b : 2ca4a; -- FIXED => 1 . ca4a (1 . 51786) FLOAT +1.395096
16b : 3b292; -- FIXED => 1 . 1b292 (1 . 111250) FLOAT +1.848770
16c : 2cc74; -- FIXED => 1 . cc74 (1 . 52340) FLOAT +1.399323
16c : 3b31d; -- FIXED => 1 . 1b31d (1 . 111389) FLOAT +1.849831
16d : 2ce9d; -- FIXED => 1 . ce9d (1 . 52893) FLOAT +1.403542
16d : 3b3a7; -- FIXED => 1 . 1b3a7 (1 . 111527) FLOAT +1.850883
16e : 2d0c6; -- FIXED => 1 . d0c6 (1 . 53446) FLOAT +1.407761
16e : 3b431; -- FIXED => 1 . 1b431 (1 . 111665) FLOAT +1.851936
16f : 2d2ef; -- FIXED => 1 . d2ef (1 . 53999) FLOAT +1.411980
16f : 3b4bb; -- FIXED => 1 . 1b4bb (1 . 111803) FLOAT +1.852989
170 : 2d517; -- FIXED => 1 . d517 (1 . 54551) FLOAT +1.416191
170 : 3b545; -- FIXED => 1 . 1b545 (1 . 111941) FLOAT +1.854042
171 : 2d740; -- FIXED => 1 . d740 (1 . 55104) FLOAT +1.420410
171 : 3b5d0; -- FIXED => 1 . 1b5d0 (1 . 112080) FLOAT +1.855103
172 : 2d967; -- FIXED => 1 . d967 (1 . 55655) FLOAT +1.424614
172 : 3b659; -- FIXED => 1 . 1b659 (1 . 112217) FLOAT +1.856148
173 : 2db8f; -- FIXED => 1 . db8f (1 . 56207) FLOAT +1.428825
173 : 3b6e3; -- FIXED => 1 . 1b6e3 (1 . 112355) FLOAT +1.857201
174 : 2ddb6; -- FIXED => 1 . ddb6 (1 . 56758) FLOAT +1.433029
174 : 3b76d; -- FIXED => 1 . 1b76d (1 . 112493) FLOAT +1.858253
175 : 2dfdd; -- FIXED => 1 . dfdd (1 . 57309) FLOAT +1.437233
175 : 3b7f7; -- FIXED => 1 . 1b7f7 (1 . 112631) FLOAT +1.859306
176 : 2e204; -- FIXED => 1 . e204 (1 . 57860) FLOAT +1.441437
176 : 3b881; -- FIXED => 1 . 1b881 (1 . 112769) FLOAT +1.860359
177 : 2e42a; -- FIXED => 1 . e42a (1 . 58410) FLOAT +1.445633
177 : 3b90a; -- FIXED => 1 . 1b90a (1 . 112906) FLOAT +1.861404
178 : 2e650; -- FIXED => 1 . e650 (1 . 58960) FLOAT +1.449829
178 : 3b994; -- FIXED => 1 . 1b994 (1 . 113044) FLOAT +1.862457
179 : 2e876; -- FIXED => 1 . e876 (1 . 59510) FLOAT +1.454025
179 : 3ba1d; -- FIXED => 1 . 1ba1d (1 . 113181) FLOAT +1.863503
17a : 2ea9b; -- FIXED => 1 . ea9b (1 . 60059) FLOAT +1.458214
17a : 3baa6; -- FIXED => 1 . 1baa6 (1 . 113318) FLOAT +1.864548
17b : 2ecc0; -- FIXED => 1 . ecc0 (1 . 60608) FLOAT +1.462402
17b : 3bb30; -- FIXED => 1 . 1bb30 (1 . 113456) FLOAT +1.865601
17c : 2eee5; -- FIXED => 1 . eee5 (1 . 61157) FLOAT +1.466591
17c : 3bbb9; -- FIXED => 1 . 1bbb9 (1 . 113593) FLOAT +1.866646
17d : 2f109; -- FIXED => 1 . f109 (1 . 61705) FLOAT +1.470772
17d : 3bc42; -- FIXED => 1 . 1bc42 (1 . 113730) FLOAT +1.867691
17e : 2f32d; -- FIXED => 1 . f32d (1 . 62253) FLOAT +1.474953
17e : 3bccb; -- FIXED => 1 . 1bccb (1 . 113867) FLOAT +1.868736
17f : 2f551; -- FIXED => 1 . f551 (1 . 62801) FLOAT +1.479134
17f : 3bd54; -- FIXED => 1 . 1bd54 (1 . 114004) FLOAT +1.869781
180 : 2f775; -- FIXED => 1 . f775 (1 . 63349) FLOAT +1.483315
180 : 3bddd; -- FIXED => 1 . 1bddd (1 . 114141) FLOAT +1.870827
181 : 2f998; -- FIXED => 1 . f998 (1 . 63896) FLOAT +1.487488
181 : 3be66; -- FIXED => 1 . 1be66 (1 . 114278) FLOAT +1.871872
182 : 2fbbb; -- FIXED => 1 . fbbb (1 . 64443) FLOAT +1.491661
182 : 3beee; -- FIXED => 1 . 1beee (1 . 114414) FLOAT +1.872910
183 : 2fddd; -- FIXED => 1 . fddd (1 . 64989) FLOAT +1.495827
183 : 3bf77; -- FIXED => 1 . 1bf77 (1 . 114551) FLOAT +1.873955
184 : 30000; -- FIXED => 1 . 10000 (1 . 65536) FLOAT +1.500000
184 : 3c000; -- FIXED => 1 . 1c000 (1 . 114688) FLOAT +1.875000
185 : 30222; -- FIXED => 1 . 10222 (1 . 66082) FLOAT +1.504166
185 : 3c088; -- FIXED => 1 . 1c088 (1 . 114824) FLOAT +1.876038
186 : 30443; -- FIXED => 1 . 10443 (1 . 66627) FLOAT +1.508324
186 : 3c110; -- FIXED => 1 . 1c110 (1 . 114960) FLOAT +1.877075
187 : 30665; -- FIXED => 1 . 10665 (1 . 67173) FLOAT +1.512489
187 : 3c199; -- FIXED => 1 . 1c199 (1 . 115097) FLOAT +1.878120
188 : 30886; -- FIXED => 1 . 10886 (1 . 67718) FLOAT +1.516647
188 : 3c221; -- FIXED => 1 . 1c221 (1 . 115233) FLOAT +1.879158
189 : 30aa6; -- FIXED => 1 . 10aa6 (1 . 68262) FLOAT +1.520798
189 : 3c2a9; -- FIXED => 1 . 1c2a9 (1 . 115369) FLOAT +1.880196
18a : 30cc7; -- FIXED => 1 . 10cc7 (1 . 68807) FLOAT +1.524956
18a : 3c331; -- FIXED => 1 . 1c331 (1 . 115505) FLOAT +1.881233
18b : 30ee7; -- FIXED => 1 . 10ee7 (1 . 69351) FLOAT +1.529106
18b : 3c3b9; -- FIXED => 1 . 1c3b9 (1 . 115641) FLOAT +1.882271
18c : 31107; -- FIXED => 1 . 11107 (1 . 69895) FLOAT +1.533257
18c : 3c441; -- FIXED => 1 . 1c441 (1 . 115777) FLOAT +1.883308
18d : 31327; -- FIXED => 1 . 11327 (1 . 70439) FLOAT +1.537407
18d : 3c4c9; -- FIXED => 1 . 1c4c9 (1 . 115913) FLOAT +1.884346
18e : 31546; -- FIXED => 1 . 11546 (1 . 70982) FLOAT +1.541550
18e : 3c551; -- FIXED => 1 . 1c551 (1 . 116049) FLOAT +1.885384
18f : 31765; -- FIXED => 1 . 11765 (1 . 71525) FLOAT +1.545692
18f : 3c5d9; -- FIXED => 1 . 1c5d9 (1 . 116185) FLOAT +1.886421
190 : 31983; -- FIXED => 1 . 11983 (1 . 72067) FLOAT +1.549828
190 : 3c660; -- FIXED => 1 . 1c660 (1 . 116320) FLOAT +1.887451
191 : 31ba2; -- FIXED => 1 . 11ba2 (1 . 72610) FLOAT +1.553970
191 : 3c6e8; -- FIXED => 1 . 1c6e8 (1 . 116456) FLOAT +1.888489
192 : 31dc0; -- FIXED => 1 . 11dc0 (1 . 73152) FLOAT +1.558105
192 : 3c770; -- FIXED => 1 . 1c770 (1 . 116592) FLOAT +1.889526
193 : 31fde; -- FIXED => 1 . 11fde (1 . 73694) FLOAT +1.562241
193 : 3c7f7; -- FIXED => 1 . 1c7f7 (1 . 116727) FLOAT +1.890556
194 : 321fb; -- FIXED => 1 . 121fb (1 . 74235) FLOAT +1.566368
194 : 3c87e; -- FIXED => 1 . 1c87e (1 . 116862) FLOAT +1.891586
195 : 32418; -- FIXED => 1 . 12418 (1 . 74776) FLOAT +1.570496
195 : 3c906; -- FIXED => 1 . 1c906 (1 . 116998) FLOAT +1.892624
196 : 32635; -- FIXED => 1 . 12635 (1 . 75317) FLOAT +1.574623
196 : 3c98d; -- FIXED => 1 . 1c98d (1 . 117133) FLOAT +1.893654
197 : 32852; -- FIXED => 1 . 12852 (1 . 75858) FLOAT +1.578751
197 : 3ca14; -- FIXED => 1 . 1ca14 (1 . 117268) FLOAT +1.894684
198 : 32a6e; -- FIXED => 1 . 12a6e (1 . 76398) FLOAT +1.582870
198 : 3ca9b; -- FIXED => 1 . 1ca9b (1 . 117403) FLOAT +1.895714
199 : 32c8a; -- FIXED => 1 . 12c8a (1 . 76938) FLOAT +1.586990
199 : 3cb22; -- FIXED => 1 . 1cb22 (1 . 117538) FLOAT +1.896744
19a : 32ea6; -- FIXED => 1 . 12ea6 (1 . 77478) FLOAT +1.591110
19a : 3cba9; -- FIXED => 1 . 1cba9 (1 . 117673) FLOAT +1.897774
19b : 330c1; -- FIXED => 1 . 130c1 (1 . 78017) FLOAT +1.595222
19b : 3cc30; -- FIXED => 1 . 1cc30 (1 . 117808) FLOAT +1.898804
19c : 332dc; -- FIXED => 1 . 132dc (1 . 78556) FLOAT +1.599335
19c : 3ccb7; -- FIXED => 1 . 1ccb7 (1 . 117943) FLOAT +1.899834
19d : 334f7; -- FIXED => 1 . 134f7 (1 . 79095) FLOAT +1.603447
19d : 3cd3d; -- FIXED => 1 . 1cd3d (1 . 118077) FLOAT +1.900856
19e : 33712; -- FIXED => 1 . 13712 (1 . 79634) FLOAT +1.607559
19e : 3cdc4; -- FIXED => 1 . 1cdc4 (1 . 118212) FLOAT +1.901886
19f : 3392c; -- FIXED => 1 . 1392c (1 . 80172) FLOAT +1.611664
19f : 3ce4b; -- FIXED => 1 . 1ce4b (1 . 118347) FLOAT +1.902916
1a0 : 33b46; -- FIXED => 1 . 13b46 (1 . 80710) FLOAT +1.615768
1a0 : 3ced1; -- FIXED => 1 . 1ced1 (1 . 118481) FLOAT +1.903938
1a1 : 33d60; -- FIXED => 1 . 13d60 (1 . 81248) FLOAT +1.619873
1a1 : 3cf58; -- FIXED => 1 . 1cf58 (1 . 118616) FLOAT +1.904968
1a2 : 33f79; -- FIXED => 1 . 13f79 (1 . 81785) FLOAT +1.623970
1a2 : 3cfde; -- FIXED => 1 . 1cfde (1 . 118750) FLOAT +1.905991
1a3 : 34192; -- FIXED => 1 . 14192 (1 . 82322) FLOAT +1.628067
1a3 : 3d064; -- FIXED => 1 . 1d064 (1 . 118884) FLOAT +1.907013
1a4 : 343ab; -- FIXED => 1 . 143ab (1 . 82859) FLOAT +1.632164
1a4 : 3d0ea; -- FIXED => 1 . 1d0ea (1 . 119018) FLOAT +1.908035
1a5 : 345c4; -- FIXED => 1 . 145c4 (1 . 83396) FLOAT +1.636261
1a5 : 3d171; -- FIXED => 1 . 1d171 (1 . 119153) FLOAT +1.909065
1a6 : 347dc; -- FIXED => 1 . 147dc (1 . 83932) FLOAT +1.640350
1a6 : 3d1f7; -- FIXED => 1 . 1d1f7 (1 . 119287) FLOAT +1.910088
1a7 : 349f4; -- FIXED => 1 . 149f4 (1 . 84468) FLOAT +1.644440
1a7 : 3d27d; -- FIXED => 1 . 1d27d (1 . 119421) FLOAT +1.911110
1a8 : 34c0c; -- FIXED => 1 . 14c0c (1 . 85004) FLOAT +1.648529
1a8 : 3d303; -- FIXED => 1 . 1d303 (1 . 119555) FLOAT +1.912132
1a9 : 34e23; -- FIXED => 1 . 14e23 (1 . 85539) FLOAT +1.652611
1a9 : 3d388; -- FIXED => 1 . 1d388 (1 . 119688) FLOAT +1.913147
1aa : 3503a; -- FIXED => 1 . 1503a (1 . 86074) FLOAT +1.656693
1aa : 3d40e; -- FIXED => 1 . 1d40e (1 . 119822) FLOAT +1.914169
1ab : 35251; -- FIXED => 1 . 15251 (1 . 86609) FLOAT +1.660774
1ab : 3d494; -- FIXED => 1 . 1d494 (1 . 119956) FLOAT +1.915192
1ac : 35467; -- FIXED => 1 . 15467 (1 . 87143) FLOAT +1.664848
1ac : 3d519; -- FIXED => 1 . 1d519 (1 . 120089) FLOAT +1.916206
1ad : 3567e; -- FIXED => 1 . 1567e (1 . 87678) FLOAT +1.668930
1ad : 3d59f; -- FIXED => 1 . 1d59f (1 . 120223) FLOAT +1.917229
1ae : 35894; -- FIXED => 1 . 15894 (1 . 88212) FLOAT +1.673004
1ae : 3d625; -- FIXED => 1 . 1d625 (1 . 120357) FLOAT +1.918251
1af : 35aa9; -- FIXED => 1 . 15aa9 (1 . 88745) FLOAT +1.677071
1af : 3d6aa; -- FIXED => 1 . 1d6aa (1 . 120490) FLOAT +1.919266
1b0 : 35cbf; -- FIXED => 1 . 15cbf (1 . 89279) FLOAT +1.681145
1b0 : 3d72f; -- FIXED => 1 . 1d72f (1 . 120623) FLOAT +1.920280
1b1 : 35ed4; -- FIXED => 1 . 15ed4 (1 . 89812) FLOAT +1.685211
1b1 : 3d7b5; -- FIXED => 1 . 1d7b5 (1 . 120757) FLOAT +1.921303
1b2 : 360e9; -- FIXED => 1 . 160e9 (1 . 90345) FLOAT +1.689278
1b2 : 3d83a; -- FIXED => 1 . 1d83a (1 . 120890) FLOAT +1.922318
1b3 : 362fd; -- FIXED => 1 . 162fd (1 . 90877) FLOAT +1.693336
1b3 : 3d8bf; -- FIXED => 1 . 1d8bf (1 . 121023) FLOAT +1.923332
1b4 : 36511; -- FIXED => 1 . 16511 (1 . 91409) FLOAT +1.697395
1b4 : 3d944; -- FIXED => 1 . 1d944 (1 . 121156) FLOAT +1.924347
1b5 : 36725; -- FIXED => 1 . 16725 (1 . 91941) FLOAT +1.701454
1b5 : 3d9c9; -- FIXED => 1 . 1d9c9 (1 . 121289) FLOAT +1.925362
1b6 : 36939; -- FIXED => 1 . 16939 (1 . 92473) FLOAT +1.705513
1b6 : 3da4e; -- FIXED => 1 . 1da4e (1 . 121422) FLOAT +1.926376
1b7 : 36b4d; -- FIXED => 1 . 16b4d (1 . 93005) FLOAT +1.709572
1b7 : 3dad3; -- FIXED => 1 . 1dad3 (1 . 121555) FLOAT +1.927391
1b8 : 36d60; -- FIXED => 1 . 16d60 (1 . 93536) FLOAT +1.713623
1b8 : 3db58; -- FIXED => 1 . 1db58 (1 . 121688) FLOAT +1.928406
1b9 : 36f73; -- FIXED => 1 . 16f73 (1 . 94067) FLOAT +1.717674
1b9 : 3dbdc; -- FIXED => 1 . 1dbdc (1 . 121820) FLOAT +1.929413
1ba : 37185; -- FIXED => 1 . 17185 (1 . 94597) FLOAT +1.721718
1ba : 3dc61; -- FIXED => 1 . 1dc61 (1 . 121953) FLOAT +1.930428
1bb : 37397; -- FIXED => 1 . 17397 (1 . 95127) FLOAT +1.725761
1bb : 3dce5; -- FIXED => 1 . 1dce5 (1 . 122085) FLOAT +1.931435
1bc : 375aa; -- FIXED => 1 . 175aa (1 . 95658) FLOAT +1.729813
1bc : 3dd6a; -- FIXED => 1 . 1dd6a (1 . 122218) FLOAT +1.932449
1bd : 377bb; -- FIXED => 1 . 177bb (1 . 96187) FLOAT +1.733849
1bd : 3ddee; -- FIXED => 1 . 1ddee (1 . 122350) FLOAT +1.933456
1be : 379cd; -- FIXED => 1 . 179cd (1 . 96717) FLOAT +1.737892
1be : 3de73; -- FIXED => 1 . 1de73 (1 . 122483) FLOAT +1.934471
1bf : 37bde; -- FIXED => 1 . 17bde (1 . 97246) FLOAT +1.741928
1bf : 3def7; -- FIXED => 1 . 1def7 (1 . 122615) FLOAT +1.935478
1c0 : 37def; -- FIXED => 1 . 17def (1 . 97775) FLOAT +1.745964
1c0 : 3df7b; -- FIXED => 1 . 1df7b (1 . 122747) FLOAT +1.936485
1c1 : 38000; -- FIXED => 1 . 18000 (1 . 98304) FLOAT +1.750000
1c1 : 3e000; -- FIXED => 1 . 1e000 (1 . 122880) FLOAT +1.937500
1c2 : 38210; -- FIXED => 1 . 18210 (1 . 98832) FLOAT +1.754028
1c2 : 3e084; -- FIXED => 1 . 1e084 (1 . 123012) FLOAT +1.938507
1c3 : 38420; -- FIXED => 1 . 18420 (1 . 99360) FLOAT +1.758057
1c3 : 3e108; -- FIXED => 1 . 1e108 (1 . 123144) FLOAT +1.939514
1c4 : 38630; -- FIXED => 1 . 18630 (1 . 99888) FLOAT +1.762085
1c4 : 3e18c; -- FIXED => 1 . 1e18c (1 . 123276) FLOAT +1.940521
1c5 : 3883f; -- FIXED => 1 . 1883f (1 . 100415) FLOAT +1.766106
1c5 : 3e20f; -- FIXED => 1 . 1e20f (1 . 123407) FLOAT +1.941521
1c6 : 38a4f; -- FIXED => 1 . 18a4f (1 . 100943) FLOAT +1.770134
1c6 : 3e293; -- FIXED => 1 . 1e293 (1 . 123539) FLOAT +1.942528
1c7 : 38c5e; -- FIXED => 1 . 18c5e (1 . 101470) FLOAT +1.774155
1c7 : 3e317; -- FIXED => 1 . 1e317 (1 . 123671) FLOAT +1.943535
1c8 : 38e6c; -- FIXED => 1 . 18e6c (1 . 101996) FLOAT +1.778168
1c8 : 3e39b; -- FIXED => 1 . 1e39b (1 . 123803) FLOAT +1.944542
1c9 : 3907b; -- FIXED => 1 . 1907b (1 . 102523) FLOAT +1.782188
1c9 : 3e41e; -- FIXED => 1 . 1e41e (1 . 123934) FLOAT +1.945541
1ca : 39289; -- FIXED => 1 . 19289 (1 . 103049) FLOAT +1.786201
1ca : 3e4a2; -- FIXED => 1 . 1e4a2 (1 . 124066) FLOAT +1.946548
1cb : 39497; -- FIXED => 1 . 19497 (1 . 103575) FLOAT +1.790215
1cb : 3e525; -- FIXED => 1 . 1e525 (1 . 124197) FLOAT +1.947548
1cc : 396a5; -- FIXED => 1 . 196a5 (1 . 104101) FLOAT +1.794228
1cc : 3e5a9; -- FIXED => 1 . 1e5a9 (1 . 124329) FLOAT +1.948555
1cd : 398b2; -- FIXED => 1 . 198b2 (1 . 104626) FLOAT +1.798233
1cd : 3e62c; -- FIXED => 1 . 1e62c (1 . 124460) FLOAT +1.949554
1ce : 39abf; -- FIXED => 1 . 19abf (1 . 105151) FLOAT +1.802238
1ce : 3e6af; -- FIXED => 1 . 1e6af (1 . 124591) FLOAT +1.950554
1cf : 39ccc; -- FIXED => 1 . 19ccc (1 . 105676) FLOAT +1.806244
1cf : 3e733; -- FIXED => 1 . 1e733 (1 . 124723) FLOAT +1.951561
1d0 : 39ed9; -- FIXED => 1 . 19ed9 (1 . 106201) FLOAT +1.810249
1d0 : 3e7b6; -- FIXED => 1 . 1e7b6 (1 . 124854) FLOAT +1.952560
1d1 : 3a0e5; -- FIXED => 1 . 1a0e5 (1 . 106725) FLOAT +1.814247
1d1 : 3e839; -- FIXED => 1 . 1e839 (1 . 124985) FLOAT +1.953560
1d2 : 3a2f1; -- FIXED => 1 . 1a2f1 (1 . 107249) FLOAT +1.818245
1d2 : 3e8bc; -- FIXED => 1 . 1e8bc (1 . 125116) FLOAT +1.954559
1d3 : 3a4fd; -- FIXED => 1 . 1a4fd (1 . 107773) FLOAT +1.822243
1d3 : 3e93f; -- FIXED => 1 . 1e93f (1 . 125247) FLOAT +1.955559
1d4 : 3a708; -- FIXED => 1 . 1a708 (1 . 108296) FLOAT +1.826233
1d4 : 3e9c2; -- FIXED => 1 . 1e9c2 (1 . 125378) FLOAT +1.956558
1d5 : 3a913; -- FIXED => 1 . 1a913 (1 . 108819) FLOAT +1.830223
1d5 : 3ea44; -- FIXED => 1 . 1ea44 (1 . 125508) FLOAT +1.957550
1d6 : 3ab1e; -- FIXED => 1 . 1ab1e (1 . 109342) FLOAT +1.834213
1d6 : 3eac7; -- FIXED => 1 . 1eac7 (1 . 125639) FLOAT +1.958549
1d7 : 3ad29; -- FIXED => 1 . 1ad29 (1 . 109865) FLOAT +1.838203
1d7 : 3eb4a; -- FIXED => 1 . 1eb4a (1 . 125770) FLOAT +1.959549
1d8 : 3af34; -- FIXED => 1 . 1af34 (1 . 110388) FLOAT +1.842194
1d8 : 3ebcd; -- FIXED => 1 . 1ebcd (1 . 125901) FLOAT +1.960548
1d9 : 3b13e; -- FIXED => 1 . 1b13e (1 . 110910) FLOAT +1.846176
1d9 : 3ec4f; -- FIXED => 1 . 1ec4f (1 . 126031) FLOAT +1.961540
1da : 3b348; -- FIXED => 1 . 1b348 (1 . 111432) FLOAT +1.850159
1da : 3ecd2; -- FIXED => 1 . 1ecd2 (1 . 126162) FLOAT +1.962540
1db : 3b551; -- FIXED => 1 . 1b551 (1 . 111953) FLOAT +1.854134
1db : 3ed54; -- FIXED => 1 . 1ed54 (1 . 126292) FLOAT +1.963531
1dc : 3b75b; -- FIXED => 1 . 1b75b (1 . 112475) FLOAT +1.858116
1dc : 3edd6; -- FIXED => 1 . 1edd6 (1 . 126422) FLOAT +1.964523
1dd : 3b964; -- FIXED => 1 . 1b964 (1 . 112996) FLOAT +1.862091
1dd : 3ee59; -- FIXED => 1 . 1ee59 (1 . 126553) FLOAT +1.965523
1de : 3bb6d; -- FIXED => 1 . 1bb6d (1 . 113517) FLOAT +1.866066
1de : 3eedb; -- FIXED => 1 . 1eedb (1 . 126683) FLOAT +1.966515
1df : 3bd75; -- FIXED => 1 . 1bd75 (1 . 114037) FLOAT +1.870033
1df : 3ef5d; -- FIXED => 1 . 1ef5d (1 . 126813) FLOAT +1.967506
1e0 : 3bf7d; -- FIXED => 1 . 1bf7d (1 . 114557) FLOAT +1.874001
1e0 : 3efdf; -- FIXED => 1 . 1efdf (1 . 126943) FLOAT +1.968498
1e1 : 3c186; -- FIXED => 1 . 1c186 (1 . 115078) FLOAT +1.877975
1e1 : 3f061; -- FIXED => 1 . 1f061 (1 . 127073) FLOAT +1.969490
1e2 : 3c38d; -- FIXED => 1 . 1c38d (1 . 115597) FLOAT +1.881935
1e2 : 3f0e3; -- FIXED => 1 . 1f0e3 (1 . 127203) FLOAT +1.970482
1e3 : 3c595; -- FIXED => 1 . 1c595 (1 . 116117) FLOAT +1.885902
1e3 : 3f165; -- FIXED => 1 . 1f165 (1 . 127333) FLOAT +1.971474
1e4 : 3c79c; -- FIXED => 1 . 1c79c (1 . 116636) FLOAT +1.889862
1e4 : 3f1e7; -- FIXED => 1 . 1f1e7 (1 . 127463) FLOAT +1.972466
1e5 : 3c9a3; -- FIXED => 1 . 1c9a3 (1 . 117155) FLOAT +1.893822
1e5 : 3f268; -- FIXED => 1 . 1f268 (1 . 127592) FLOAT +1.973450
1e6 : 3cbaa; -- FIXED => 1 . 1cbaa (1 . 117674) FLOAT +1.897781
1e6 : 3f2ea; -- FIXED => 1 . 1f2ea (1 . 127722) FLOAT +1.974442
1e7 : 3cdb0; -- FIXED => 1 . 1cdb0 (1 . 118192) FLOAT +1.901733
1e7 : 3f36c; -- FIXED => 1 . 1f36c (1 . 127852) FLOAT +1.975433
1e8 : 3cfb7; -- FIXED => 1 . 1cfb7 (1 . 118711) FLOAT +1.905693
1e8 : 3f3ed; -- FIXED => 1 . 1f3ed (1 . 127981) FLOAT +1.976418
1e9 : 3d1bd; -- FIXED => 1 . 1d1bd (1 . 119229) FLOAT +1.909645
1e9 : 3f46f; -- FIXED => 1 . 1f46f (1 . 128111) FLOAT +1.977409
1ea : 3d3c2; -- FIXED => 1 . 1d3c2 (1 . 119746) FLOAT +1.913589
1ea : 3f4f0; -- FIXED => 1 . 1f4f0 (1 . 128240) FLOAT +1.978394
1eb : 3d5c8; -- FIXED => 1 . 1d5c8 (1 . 120264) FLOAT +1.917542
1eb : 3f572; -- FIXED => 1 . 1f572 (1 . 128370) FLOAT +1.979385
1ec : 3d7cd; -- FIXED => 1 . 1d7cd (1 . 120781) FLOAT +1.921486
1ec : 3f5f3; -- FIXED => 1 . 1f5f3 (1 . 128499) FLOAT +1.980370
1ed : 3d9d2; -- FIXED => 1 . 1d9d2 (1 . 121298) FLOAT +1.925430
1ed : 3f674; -- FIXED => 1 . 1f674 (1 . 128628) FLOAT +1.981354
1ee : 3dbd7; -- FIXED => 1 . 1dbd7 (1 . 121815) FLOAT +1.929375
1ee : 3f6f5; -- FIXED => 1 . 1f6f5 (1 . 128757) FLOAT +1.982338
1ef : 3dddb; -- FIXED => 1 . 1dddb (1 . 122331) FLOAT +1.933311
1ef : 3f776; -- FIXED => 1 . 1f776 (1 . 128886) FLOAT +1.983322
1f0 : 3dfdf; -- FIXED => 1 . 1dfdf (1 . 122847) FLOAT +1.937248
1f0 : 3f7f7; -- FIXED => 1 . 1f7f7 (1 . 129015) FLOAT +1.984306
1f1 : 3e1e3; -- FIXED => 1 . 1e1e3 (1 . 123363) FLOAT +1.941185
1f1 : 3f878; -- FIXED => 1 . 1f878 (1 . 129144) FLOAT +1.985291
1f2 : 3e3e7; -- FIXED => 1 . 1e3e7 (1 . 123879) FLOAT +1.945122
1f2 : 3f8f9; -- FIXED => 1 . 1f8f9 (1 . 129273) FLOAT +1.986275
1f3 : 3e5ea; -- FIXED => 1 . 1e5ea (1 . 124394) FLOAT +1.949051
1f3 : 3f97a; -- FIXED => 1 . 1f97a (1 . 129402) FLOAT +1.987259
1f4 : 3e7ed; -- FIXED => 1 . 1e7ed (1 . 124909) FLOAT +1.952980
1f4 : 3f9fb; -- FIXED => 1 . 1f9fb (1 . 129531) FLOAT +1.988243
1f5 : 3e9f0; -- FIXED => 1 . 1e9f0 (1 . 125424) FLOAT +1.956909
1f5 : 3fa7c; -- FIXED => 1 . 1fa7c (1 . 129660) FLOAT +1.989227
1f6 : 3ebf3; -- FIXED => 1 . 1ebf3 (1 . 125939) FLOAT +1.960838
1f6 : 3fafc; -- FIXED => 1 . 1fafc (1 . 129788) FLOAT +1.990204
1f7 : 3edf5; -- FIXED => 1 . 1edf5 (1 . 126453) FLOAT +1.964760
1f7 : 3fb7d; -- FIXED => 1 . 1fb7d (1 . 129917) FLOAT +1.991188
1f8 : 3eff7; -- FIXED => 1 . 1eff7 (1 . 126967) FLOAT +1.968681
1f8 : 3fbfd; -- FIXED => 1 . 1fbfd (1 . 130045) FLOAT +1.992165
1f9 : 3f1f9; -- FIXED => 1 . 1f1f9 (1 . 127481) FLOAT +1.972603
1f9 : 3fc7e; -- FIXED => 1 . 1fc7e (1 . 130174) FLOAT +1.993149
1fa : 3f3fb; -- FIXED => 1 . 1f3fb (1 . 127995) FLOAT +1.976524
1fa : 3fcfe; -- FIXED => 1 . 1fcfe (1 . 130302) FLOAT +1.994125
1fb : 3f5fc; -- FIXED => 1 . 1f5fc (1 . 128508) FLOAT +1.980438
1fb : 3fd7f; -- FIXED => 1 . 1fd7f (1 . 130431) FLOAT +1.995110
1fc : 3f7fe; -- FIXED => 1 . 1f7fe (1 . 129022) FLOAT +1.984360
1fc : 3fdff; -- FIXED => 1 . 1fdff (1 . 130559) FLOAT +1.996086
1fd : 3f9fe; -- FIXED => 1 . 1f9fe (1 . 129534) FLOAT +1.988266
1fd : 3fe7f; -- FIXED => 1 . 1fe7f (1 . 130687) FLOAT +1.997063
1fe : 3fbff; -- FIXED => 1 . 1fbff (1 . 130047) FLOAT +1.992180
1fe : 3feff; -- FIXED => 1 . 1feff (1 . 130815) FLOAT +1.998039
1ff : 3fdff; -- FIXED => 1 . 1fdff (1 . 130559) FLOAT +1.996086
1ff : 3ff7f; -- FIXED => 1 . 1ff7f (1 . 130943) FLOAT +1.999016
END;
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.