OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [sqrtdiv/] [shift.vhd] - Diff between revs 72 and 78

Show entire file | Details | Blame | View Log

Rev 72 Rev 78
Line 32... Line 32...
--! \brief Dado que cualquier número entero A, se puede escribir 2^n * f, es importante obtener una representación del valor de f en base 2. Una vez hallado este valor y evaluado en una función bastara con realizar un corrimiento a la izquierda n bits del resultado, para calcular funciones como A^-1 o A^0.5.
--! \brief Dado que cualquier número entero A, se puede escribir 2^n * f, es importante obtener una representación del valor de f en base 2. Una vez hallado este valor y evaluado en una función bastara con realizar un corrimiento a la izquierda n bits del resultado, para calcular funciones como A^-1 o A^0.5.
entity shifter is
entity shifter is
        generic (
        generic (
                address_width   : integer       := 9;
                address_width   : integer       := 9;
                width                   : integer       := 32;
                width                   : integer       := 32;
                --! Cuando even shifter es "YES" se hara la busqueda del primer bit con valor 1, de izquierda a derecha, pero NO de uno 
                --! Cuando even shifter es "YES" se hara la busqueda del primer bit con valor 1, de izquierda a derecha, pero NO de uno en uno.
                even_shifter    : string        := "YES"
                even_shifter    : string        := "YES"
 
 
        );
        );
        port (
        port (
                data                    : in std_logic_vector(width - 1 downto 0);
                data                    : in std_logic_vector(width - 1 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.