OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [uf.vhd] - Diff between revs 56 and 58

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 56 Rev 58
Line 361... Line 361...
                        write (buff,string'("}{"));
                        write (buff,string'("}{"));
                        hexwrite_0 (buff,stage1p1(31 downto 0));
                        hexwrite_0 (buff,stage1p1(31 downto 0));
                        write (buff,string'("}{"));
                        write (buff,string'("}{"));
                        hexwrite_0 (buff,stage1p2(31 downto 0));
                        hexwrite_0 (buff,stage1p2(31 downto 0));
                        write (buff,string'("}{"));
                        write (buff,string'("}{"));
                        hexwrite_0 (buff,stage1p1(31 downto 0));
                        hexwrite_0 (buff,stage1p3(31 downto 0));
                        write (buff,string'("}{"));
                        write (buff,string'("}{"));
                        hexwrite_0 (buff,stage1p4(31 downto 0));
                        hexwrite_0 (buff,stage1p4(31 downto 0));
                        write (buff,string'("}{"));
                        write (buff,string'("}{"));
                        hexwrite_0 (buff,stage1p5(31 downto 0));
                        hexwrite_0 (buff,stage1p5(31 downto 0));
                        write (buff,string'("}"));
                        write (buff,string'("}"));

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.