OpenCores
URL https://opencores.org/ocsvn/rio/rio/trunk

Subversion Repositories rio

[/] [rio/] [branches/] [2.0.0-development/] [bench/] [vhdl/] [TestRioLogicalCommon.vhd] - Diff between revs 48 and 51

Show entire file | Details | Blame | View Log

Rev 48 Rev 51
Line 272... Line 272...
    areset_n <= '1';
    areset_n <= '1';
    wait until clk'event and clk = '1';
    wait until clk'event and clk = '1';
    wait until clk'event and clk = '1';
    wait until clk'event and clk = '1';
 
 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintS("-----------------------------------------------------------------");
    TestSpec("-----------------------------------------------------------------");
    PrintS("TG_RioLogicalCommon");
    TestSpec("TG_RioLogicalCommon");
    PrintS("-----------------------------------------------------------------");
    TestSpec("-----------------------------------------------------------------");
    PrintS("TG_RioLogicalCommon-TC1");
    TestSpec("TG_RioLogicalCommon-TC1");
    PrintS("Description: Test all sizes of packets in the inbound direction.");
    TestSpec("Description: Test all sizes of packets in the inbound direction.");
    PrintS("Requirement: ");
    TestSpec("Requirement: ");
    PrintS("-----------------------------------------------------------------");
    TestSpec("-----------------------------------------------------------------");
    PrintS("Step 1:");
    TestSpec("Step 1:");
    PrintS("Action: Add inbound packets in all allowed sized.");
    TestSpec("Action: Add inbound packets in all allowed sized.");
    PrintS("Result: The payload of the inbound packets should be received on ");
    TestSpec("Result: The payload of the inbound packets should be received on ");
    PrintS("        the other side without CRC.");
    TestSpec("        the other side without CRC.");
    PrintS("-----------------------------------------------------------------");
    TestSpec("-----------------------------------------------------------------");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintR("TG_RioLogicalCommon-TC1-Step1");
    TestCaseStart("TG_RioLogicalCommon-TC1-Step1");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    -- REMARK: Use random data...
    -- REMARK: Use random data...
    for j in 1 to 133 loop
    for j in 1 to 133 loop
      payload.length := j;
      payload.length := j;
      for i in 0 to payload.length-1 loop
      for i in 0 to payload.length-1 loop
Line 308... Line 308...
    end loop;
    end loop;
 
 
    TestWait(inboundMessageEmpty, '1', "inboundMessage empty");
    TestWait(inboundMessageEmpty, '1', "inboundMessage empty");
 
 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    --PrintS("-----------------------------------------------------------------");
    --TestSpec("-----------------------------------------------------------------");
    --PrintS("Step 2:");
    --TestSpec("Step 2:");
    --PrintS("Action: Send an inbound frame that are too long.");
    --TestSpec("Action: Send an inbound frame that are too long.");
    --PrintS("Result: The tail of the packet should be discarded.");
    --TestSpec("Result: The tail of the packet should be discarded.");
    --PrintS("-----------------------------------------------------------------");
    --TestSpec("-----------------------------------------------------------------");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    --PrintR("TG_RioLogicalCommon-TC1-Step2");
    --TestCaseStart("TG_RioLogicalCommon-TC1-Step2");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintS("-----------------------------------------------------------------");
    TestSpec("-----------------------------------------------------------------");
    PrintS("TG_RioLogicalCommon-TC2");
    TestSpec("TG_RioLogicalCommon-TC2");
    PrintS("Description: Test all sizes of packets in the outbound direction.");
    TestSpec("Description: Test all sizes of packets in the outbound direction.");
    PrintS("Requirement: ");
    TestSpec("Requirement: ");
    PrintS("-----------------------------------------------------------------");
    TestSpec("-----------------------------------------------------------------");
    PrintS("Step 1:");
    TestSpec("Step 1:");
    PrintS("Action: Add outbound packets in all allowed sized.");
    TestSpec("Action: Add outbound packets in all allowed sized.");
    PrintS("Result: The payload of the outbound packets should be received on ");
    TestSpec("Result: The payload of the outbound packets should be received on ");
    PrintS("        the other side with CRC added.");
    TestSpec("        the other side with CRC added.");
    PrintS("-----------------------------------------------------------------");
    TestSpec("-----------------------------------------------------------------");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintR("TG_RioLogicalCommon-TC2-Step1");
    TestCaseStart("TG_RioLogicalCommon-TC2-Step1");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    for j in 1 to 133 loop
    for j in 1 to 133 loop
      payload.length := j;
      payload.length := j;
      for i in 0 to payload.length-1 loop
      for i in 0 to payload.length-1 loop
Line 352... Line 352...
    end loop;
    end loop;
 
 
    TestWait(outboundMessageEmpty, '1', "outboundMessage empty");
    TestWait(outboundMessageEmpty, '1', "outboundMessage empty");
 
 
    -----------------------------------------------------------------------------
    -----------------------------------------------------------------------------
    --PrintS("-----------------------------------------------------------------");
    --TestSpec("-----------------------------------------------------------------");
    --PrintS("Step 2:");
    --TestSpec("Step 2:");
    --PrintS("Action: Send an outbound frame that are too long.");
    --TestSpec("Action: Send an outbound frame that are too long.");
    --PrintS("Result: The tail of the packet should be discarded.");
    --TestSpec("Result: The tail of the packet should be discarded.");
    --PrintS("-----------------------------------------------------------------");
    --TestSpec("-----------------------------------------------------------------");
    -----------------------------------------------------------------------------
    -----------------------------------------------------------------------------
    --PrintR("TG_RioLogicalCommon-TC1-Step2");
    --TestCaseStart("TG_RioLogicalCommon-TC1-Step2");
    -----------------------------------------------------------------------------
    -----------------------------------------------------------------------------
 
 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    -- Test completed.
    -- Test completed.
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.