OpenCores
URL https://opencores.org/ocsvn/rio/rio/trunk

Subversion Repositories rio

[/] [rio/] [branches/] [parallelSymbols/] [bench/] [vhdl/] [TestRioPacketBuffer.vhd] - Diff between revs 10 and 16

Show entire file | Details | Blame | View Log

Rev 10 Rev 16
Line 278... Line 278...
 
 
      outboundWriteFrameAbort <= '0';
      outboundWriteFrameAbort <= '0';
    end procedure;
    end procedure;
 
 
    procedure SetOutboundReadContent(
    procedure SetOutboundReadContent(
      constant content : in std_logic_vector(31 downto 0)) is
      constant content : in std_logic_vector(31 downto 0);
 
      constant ending : in std_logic := '0') is
    begin
    begin
      outboundReadContent <= '1';
      outboundReadContent <= '1';
      wait until clk'event and clk = '1';
      wait until clk'event and clk = '1';
      wait for 1 ns;
      wait for 1 ns;
 
 
      assert (outboundReadContentData = content)
      assert (outboundReadContentData = content)
        report "Unexpected content read." severity error;
        report "Unexpected content read." severity error;
      assert (outboundReadContentEnd = '0')
      assert (outboundReadContentEnd = ending)
        report "Unexpected content end." severity error;
 
 
 
      outboundReadContent <= '0';
 
    end procedure;
 
 
 
    procedure SetOutboundReadContentEnd is
 
    begin
 
      outboundReadContent <= '1';
 
      wait until clk'event and clk = '1';
 
      wait for 1 ns;
 
 
 
      assert (outboundReadContentEnd = '1')
 
        report "Unexpected content end." severity error;
        report "Unexpected content end." severity error;
 
 
      outboundReadContent <= '0';
      outboundReadContent <= '0';
    end procedure;
    end procedure;
 
 
Line 657... Line 646...
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    for i in 0 to 2 loop
    for i in 0 to 1 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(2, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 683... Line 672...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 2 loop
    for i in 0 to 1 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(2, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 777... Line 766...
 
 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    -- Read the frames using the window mechanism.
    -- Read the frames using the window mechanism.
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    for i in 0 to 2 loop
    for i in 0 to 1 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(1+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(1+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(1+2, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 803... Line 792...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 2 loop
    for i in 0 to 1 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(2+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(2+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(2+2, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 841... Line 830...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 2 loop
    for i in 0 to 1 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(1+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(1+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(1+2, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 867... Line 856...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 2 loop
    for i in 0 to 1 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(2+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(2+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(2+2, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 912... Line 901...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 2 loop
    for i in 0 to 1 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(2+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(2+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(2+2, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1021... Line 1010...
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    -- Read the whole window until it is empty.
    -- Read the whole window until it is empty.
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    for j in 0 to 61 loop
    for j in 0 to 61 loop
      for i in 0 to 2 loop
      for i in 0 to 1 loop
        SetOutboundReadContent(std_logic_vector(to_unsigned(j+i, 32)));
        SetOutboundReadContent(std_logic_vector(to_unsigned(j+i, 32)));
        assert (outboundWriteFrameFull = '1')
        assert (outboundWriteFrameFull = '1')
          report "Unexpected writeFrameFull." severity error;
          report "Unexpected writeFrameFull." severity error;
        assert (outboundReadFrameEmpty = '0')
        assert (outboundReadFrameEmpty = '0')
          report "Unexpected readFrameEmpty." severity error;
          report "Unexpected readFrameEmpty." severity error;
        assert (outboundReadWindowEmpty = '0')
        assert (outboundReadWindowEmpty = '0')
          report "Unexpected readWindowEmpty." severity error;
          report "Unexpected readWindowEmpty." severity error;
      end loop;
      end loop;
 
 
      SetOutboundReadContentEnd;
      SetOutboundReadContent(std_logic_vector(to_unsigned(j+2, 32)), '1');
      assert (outboundWriteFrameFull = '1')
      assert (outboundWriteFrameFull = '1')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
Line 1048... Line 1037...
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    for i in 0 to 2 loop
    for i in 0 to 1 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(62+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(62+i, 32)));
      assert (outboundWriteFrameFull = '1')
      assert (outboundWriteFrameFull = '1')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(62+2, 32)), '1');
    assert (outboundWriteFrameFull = '1')
    assert (outboundWriteFrameFull = '1')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1104... Line 1093...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 2 loop
    for i in 0 to 1 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(62+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(62+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(62+2, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1219... Line 1208...
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    -- Read the whole window until it is empty.
    -- Read the whole window until it is empty.
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    for j in 0 to 5 loop
    for j in 0 to 5 loop
      for i in 0 to 30 loop
      for i in 0 to 29 loop
        SetOutboundReadContent(std_logic_vector(to_unsigned(j+i, 32)));
        SetOutboundReadContent(std_logic_vector(to_unsigned(j+i, 32)));
        assert (outboundWriteFrameFull = '1')
        assert (outboundWriteFrameFull = '1')
          report "Unexpected writeFrameFull." severity error;
          report "Unexpected writeFrameFull." severity error;
        assert (outboundReadFrameEmpty = '0')
        assert (outboundReadFrameEmpty = '0')
          report "Unexpected readFrameEmpty." severity error;
          report "Unexpected readFrameEmpty." severity error;
        assert (outboundReadWindowEmpty = '0')
        assert (outboundReadWindowEmpty = '0')
          report "Unexpected readWindowEmpty." severity error;
          report "Unexpected readWindowEmpty." severity error;
      end loop;
      end loop;
 
 
      SetOutboundReadContentEnd;
      SetOutboundReadContent(std_logic_vector(to_unsigned(j+30, 32)), '1');
      assert (outboundWriteFrameFull = '1')
      assert (outboundWriteFrameFull = '1')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
Line 1246... Line 1235...
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    for i in 0 to 68 loop
    for i in 0 to 67 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(1024+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(1024+i, 32)));
      assert (outboundWriteFrameFull = '1')
      assert (outboundWriteFrameFull = '1')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(1024+68, 32)), '1');
    assert (outboundWriteFrameFull = '1')
    assert (outboundWriteFrameFull = '1')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1312... Line 1301...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 68 loop
    for i in 0 to 67 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(1024+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(1024+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(1024+68, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1529... Line 1518...
 
 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    -- 
    -- 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    for i in 0 to 3 loop
    for i in 0 to 2 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(3, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1587... Line 1576...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    SetOutboundReadContent(std_logic_vector(to_unsigned(4, 32)));
    SetOutboundReadContent(std_logic_vector(to_unsigned(4, 32)), '1');
    assert (outboundWriteFrameFull = '0')
 
      report "Unexpected writeFrameFull." severity error;
 
    assert (outboundReadFrameEmpty = '0')
 
      report "Unexpected readFrameEmpty." severity error;
 
    assert (outboundReadWindowEmpty = '0')
 
      report "Unexpected readWindowEmpty." severity error;
 
 
 
    SetOutboundReadContentEnd;
 
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1723... Line 1704...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 3 loop
    for i in 0 to 2 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(1+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(1+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(1+3, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1757... Line 1738...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 3 loop
    for i in 0 to 2 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(3+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(3+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(3+3, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1818... Line 1799...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 3 loop
    for i in 0 to 2 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(1+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(1+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(1+3, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1844... Line 1825...
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
 
 
    for i in 0 to 3 loop
    for i in 0 to 2 loop
      SetOutboundReadContent(std_logic_vector(to_unsigned(1+i, 32)));
      SetOutboundReadContent(std_logic_vector(to_unsigned(1+i, 32)));
      assert (outboundWriteFrameFull = '0')
      assert (outboundWriteFrameFull = '0')
        report "Unexpected writeFrameFull." severity error;
        report "Unexpected writeFrameFull." severity error;
      assert (outboundReadFrameEmpty = '0')
      assert (outboundReadFrameEmpty = '0')
        report "Unexpected readFrameEmpty." severity error;
        report "Unexpected readFrameEmpty." severity error;
      assert (outboundReadWindowEmpty = '0')
      assert (outboundReadWindowEmpty = '0')
        report "Unexpected readWindowEmpty." severity error;
        report "Unexpected readWindowEmpty." severity error;
    end loop;
    end loop;
 
 
    SetOutboundReadContentEnd;
    SetOutboundReadContent(std_logic_vector(to_unsigned(1+3, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
Line 1912... Line 1893...
    assert (outboundReadWindowEmpty = '1')
    assert (outboundReadWindowEmpty = '1')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
    assert (outboundReadContentEmpty = '0')
    assert (outboundReadContentEmpty = '0')
      report "Unexpected readContentEmpty." severity error;
      report "Unexpected readContentEmpty." severity error;
 
 
    SetOutboundReadContent(std_logic_vector(to_unsigned(1, 32)));
    SetOutboundReadContent(std_logic_vector(to_unsigned(1, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '1')
    assert (outboundReadFrameEmpty = '1')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '1')
    assert (outboundReadWindowEmpty = '1')
Line 1932... Line 1913...
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
    assert (outboundReadContentEmpty = '0')
    assert (outboundReadContentEmpty = '0')
      report "Unexpected readContentEmpty." severity error;
      report "Unexpected readContentEmpty." severity error;
 
 
    SetOutboundReadContentEnd;
 
    assert (outboundWriteFrameFull = '0')
 
      report "Unexpected writeFrameFull." severity error;
 
    assert (outboundReadFrameEmpty = '0')
 
      report "Unexpected readFrameEmpty." severity error;
 
    assert (outboundReadWindowEmpty = '0')
 
      report "Unexpected readWindowEmpty." severity error;
 
    assert (outboundReadContentEmpty = '0')
 
      report "Unexpected readContentEmpty." severity error;
 
 
 
    SetOutboundReadWindowNext;
    SetOutboundReadWindowNext;
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
Line 2033... Line 2004...
    assert (outboundReadContentEmpty = '0')
    assert (outboundReadContentEmpty = '0')
      report "Unexpected readContentEmpty." severity error;
      report "Unexpected readContentEmpty." severity error;
    assert (outboundReadFrameAborted = '0')
    assert (outboundReadFrameAborted = '0')
      report "Unexpected readFrameAborted." severity error;
      report "Unexpected readFrameAborted." severity error;
 
 
    SetOutboundReadContent(std_logic_vector(to_unsigned(2, 32)));
    SetOutboundReadContent(std_logic_vector(to_unsigned(2, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '1')
    assert (outboundReadFrameEmpty = '1')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '1')
    assert (outboundReadWindowEmpty = '1')
Line 2057... Line 2028...
    assert (outboundReadContentEmpty = '0')
    assert (outboundReadContentEmpty = '0')
      report "Unexpected readContentEmpty." severity error;
      report "Unexpected readContentEmpty." severity error;
    assert (outboundReadFrameAborted = '0')
    assert (outboundReadFrameAborted = '0')
      report "Unexpected readFrameAborted." severity error;
      report "Unexpected readFrameAborted." severity error;
 
 
    SetOutboundReadContentEnd;
 
    assert (outboundWriteFrameFull = '0')
 
      report "Unexpected writeFrameFull." severity error;
 
    assert (outboundReadFrameEmpty = '0')
 
      report "Unexpected readFrameEmpty." severity error;
 
    assert (outboundReadWindowEmpty = '0')
 
      report "Unexpected readWindowEmpty." severity error;
 
    assert (outboundReadContentEmpty = '0')
 
      report "Unexpected readContentEmpty." severity error;
 
    assert (outboundReadFrameAborted = '0')
 
      report "Unexpected readFrameAborted." severity error;
 
 
 
    SetOutboundReadWindowNext;
    SetOutboundReadWindowNext;
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
Line 2114... Line 2073...
    assert (outboundReadContentEmpty = '0')
    assert (outboundReadContentEmpty = '0')
      report "Unexpected readContentEmpty." severity error;
      report "Unexpected readContentEmpty." severity error;
    assert (outboundReadFrameAborted = '0')
    assert (outboundReadFrameAborted = '0')
      report "Unexpected readFrameAborted." severity error;
      report "Unexpected readFrameAborted." severity error;
 
 
    SetOutboundReadContent(std_logic_vector(to_unsigned(1, 32)));
    SetOutboundReadContent(std_logic_vector(to_unsigned(1, 32)), '1');
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '1')
    assert (outboundReadFrameEmpty = '1')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '1')
    assert (outboundReadWindowEmpty = '1')
Line 2156... Line 2115...
    assert (outboundWriteFrameFull = '0')
    assert (outboundWriteFrameFull = '0')
      report "Unexpected writeFrameFull." severity error;
      report "Unexpected writeFrameFull." severity error;
    assert (outboundReadFrameEmpty = '0')
    assert (outboundReadFrameEmpty = '0')
      report "Unexpected readFrameEmpty." severity error;
      report "Unexpected readFrameEmpty." severity error;
    assert (outboundReadWindowEmpty = '0')
    assert (outboundReadWindowEmpty = '0')
      report "Unexpected readWindowEmpty." severity error;
 
    assert (outboundReadContentEmpty = '0')
 
      report "Unexpected readContentEmpty." severity error;
 
    assert (outboundReadFrameAborted = '0')
 
      report "Unexpected readFrameAborted." severity error;
 
 
 
    SetOutboundReadContentEnd;
 
    assert (outboundWriteFrameFull = '0')
 
      report "Unexpected writeFrameFull." severity error;
 
    assert (outboundReadFrameEmpty = '0')
 
      report "Unexpected readFrameEmpty." severity error;
 
    assert (outboundReadWindowEmpty = '0')
 
      report "Unexpected readWindowEmpty." severity error;
      report "Unexpected readWindowEmpty." severity error;
    assert (outboundReadContentEmpty = '0')
    assert (outboundReadContentEmpty = '0')
      report "Unexpected readContentEmpty." severity error;
      report "Unexpected readContentEmpty." severity error;
    assert (outboundReadFrameAborted = '0')
    assert (outboundReadFrameAborted = '0')
      report "Unexpected readFrameAborted." severity error;
      report "Unexpected readFrameAborted." severity error;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.