Line 154... |
Line 154... |
constant NUMBER_WORDS : natural range 1 to 8 := 1;
|
constant NUMBER_WORDS : natural range 1 to 8 := 1;
|
|
|
signal clk : std_logic;
|
signal clk : std_logic;
|
signal areset_n : std_logic;
|
signal areset_n : std_logic;
|
|
|
signal uartInbound : std_logic;
|
|
signal uartOutbound : std_logic;
|
|
|
|
signal portLinkTimeout : std_logic_vector(10 downto 0);
|
signal portLinkTimeout : std_logic_vector(10 downto 0);
|
signal linkInitialized : std_logic;
|
signal linkInitialized : std_logic;
|
signal inputPortEnable : std_logic;
|
signal inputPortEnable : std_logic;
|
signal outputPortEnable : std_logic;
|
signal outputPortEnable : std_logic;
|
|
|
Line 1996... |
Line 1993... |
PrintS("Result: The transmitter should enter output-error-stopped.");
|
PrintS("Result: The transmitter should enter output-error-stopped.");
|
---------------------------------------------------------------------------
|
---------------------------------------------------------------------------
|
PrintR("TG_RioSerial-TC4-Step11");
|
PrintR("TG_RioSerial-TC4-Step11");
|
---------------------------------------------------------------------------
|
---------------------------------------------------------------------------
|
|
|
|
-- REMARK: Set the fifo size to two instead of this...
|
|
ReceiveSymbol(SYMBOL_IDLE);
|
|
ReceiveSymbol(SYMBOL_IDLE);
|
|
ReceiveSymbol(SYMBOL_IDLE);
|
|
|
|
|
-- Send a packet-accepted with unexpected ackId.
|
-- Send a packet-accepted with unexpected ackId.
|
SendSymbol(SYMBOL_CONTROL,
|
SendSymbol(SYMBOL_CONTROL,
|
RioControlSymbolCreate(STYPE0_PACKET_ACCEPTED, "10000", "11111",
|
RioControlSymbolCreate(STYPE0_PACKET_ACCEPTED, "10000", "11111",
|
STYPE1_NOP, "000"));
|
STYPE1_NOP, "000"));
|
|
|
Line 2424... |
Line 2427... |
readContentData_o <= (others=>'U');
|
readContentData_o <= (others=>'U');
|
end if;
|
end if;
|
|
|
if (readContent_i = '1') then
|
if (readContent_i = '1') then
|
assert frameValid_i(frontIndex) = '1' report "Unexpected content read." severity error;
|
assert frameValid_i(frontIndex) = '1' report "Unexpected content read." severity error;
|
if (frameIndex /= frameWrite_i(frontIndex).length) then
|
|
readContentEnd_o <= '0';
|
|
readContentData_o <= "00" & frameWrite_i(frontIndex).payload(frameIndex);
|
readContentData_o <= "00" & frameWrite_i(frontIndex).payload(frameIndex);
|
frameIndex := frameIndex + 1;
|
frameIndex := frameIndex + 1;
|
|
if (frameIndex /= frameWrite_i(frontIndex).length) then
|
|
readContentEnd_o <= '0';
|
else
|
else
|
readContentEnd_o <= '1';
|
readContentEnd_o <= '1';
|
readContentData_o <= (others=>'U');
|
|
end if;
|
end if;
|
end if;
|
end if;
|
|
|
if(frameValid_i(frontIndex) = '1') and (frameComplete = '0') then
|
if(frameValid_i(frontIndex) = '1') and (frameComplete = '0') then
|
readFrameEmpty_o <= '0';
|
readFrameEmpty_o <= '0';
|