OpenCores
URL https://opencores.org/ocsvn/rio/rio/trunk

Subversion Repositories rio

[/] [rio/] [trunk/] [bench/] [vhdl/] [TestRioPcsUart.vhd] - Diff between revs 20 and 25

Show entire file | Details | Blame | View Log

Rev 20 Rev 25
Line 48... Line 48...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_std.all;
library std;
library std;
use std.textio.all;
use std.textio.all;
use work.test_common.all;
 
use work.rio_common.all;
use work.rio_common.all;
 
 
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity for TestRioPcsUart.
-- Entity for TestRioPcsUart.
Line 165... Line 164...
        integer'image(to_integer(unsigned(symbolType))) &
        integer'image(to_integer(unsigned(symbolType))) &
        " got=" &
        " got=" &
        integer'image(to_integer(unsigned(outboundSymbolWriteData(33 downto 32))))
        integer'image(to_integer(unsigned(outboundSymbolWriteData(33 downto 32))))
        severity error;
        severity error;
 
 
      if (symbolType = SYMBOL_CONTROL_SC) or (symbolType = SYMBOL_CONTROL_PD) then
      if (symbolType = SYMBOL_CONTROL) then
        assert symbolContent(31 downto 8) = inboundSymbolWriteData(31 downto 8)
        assert symbolContent(31 downto 8) = inboundSymbolWriteData(31 downto 8)
          report "Missmatching symbol content:expected=" &
          report "Missmatching symbol content:expected=" &
          integer'image(to_integer(unsigned(symbolContent(31 downto 8)))) &
          integer'image(to_integer(unsigned(symbolContent(31 downto 8)))) &
          " got=" &
          " got=" &
          integer'image(to_integer(unsigned(inboundSymbolWriteData(31 downto 8))))
          integer'image(to_integer(unsigned(inboundSymbolWriteData(31 downto 8))))
Line 304... Line 303...
    PrintS("Result: .");
    PrintS("Result: .");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintR("TG_RioPcsUart-TC2-Step2");
    PrintR("TG_RioPcsUart-TC2-Step2");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    WriteSymbol(SYMBOL_CONTROL_SC, x"123456" & "XXXXXXXX");
    WriteSymbol(SYMBOL_CONTROL, x"123456" & "XXXXXXXX");
    ReadOctet(x"12");
    ReadOctet(x"12");
    ReadOctet(x"34");
    ReadOctet(x"34");
    ReadOctet(x"56");
    ReadOctet(x"56");
    ReadOctet(x"7e");
    ReadOctet(x"7e");
 
 
Line 318... Line 317...
    PrintS("Result: .");
    PrintS("Result: .");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintR("TG_RioPcsUart-TC2-Step3");
    PrintR("TG_RioPcsUart-TC2-Step3");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    WriteSymbol(SYMBOL_CONTROL_PD, x"7d7d7d" & "XXXXXXXX");
    WriteSymbol(SYMBOL_CONTROL, x"7d7d7d" & "XXXXXXXX");
    ReadOctet(x"7d");
    ReadOctet(x"7d");
    ReadOctet(x"5d");
    ReadOctet(x"5d");
    ReadOctet(x"7d");
    ReadOctet(x"7d");
    ReadOctet(x"5d");
    ReadOctet(x"5d");
    ReadOctet(x"7d");
    ReadOctet(x"7d");
Line 335... Line 334...
    PrintS("Result: .");
    PrintS("Result: .");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintR("TG_RioPcsUart-TC2-Step4");
    PrintR("TG_RioPcsUart-TC2-Step4");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    WriteSymbol(SYMBOL_CONTROL_SC, x"7e7e7e" & "XXXXXXXX");
    WriteSymbol(SYMBOL_CONTROL, x"7e7e7e" & "XXXXXXXX");
    ReadOctet(x"7d");
    ReadOctet(x"7d");
    ReadOctet(x"5e");
    ReadOctet(x"5e");
    ReadOctet(x"7d");
    ReadOctet(x"7d");
    ReadOctet(x"5e");
    ReadOctet(x"5e");
    ReadOctet(x"7d");
    ReadOctet(x"7d");
Line 352... Line 351...
    PrintS("Result: .");
    PrintS("Result: .");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintR("TG_RioPcsUart-TC2-Step5");
    PrintR("TG_RioPcsUart-TC2-Step5");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    WriteSymbol(SYMBOL_CONTROL_PD, x"7d7f7e" & "XXXXXXXX");
    WriteSymbol(SYMBOL_CONTROL, x"7d7f7e" & "XXXXXXXX");
    ReadOctet(x"7d");
    ReadOctet(x"7d");
    ReadOctet(x"5d");
    ReadOctet(x"5d");
    ReadOctet(x"7f");
    ReadOctet(x"7f");
    ReadOctet(x"7d");
    ReadOctet(x"7d");
    ReadOctet(x"5e");
    ReadOctet(x"5e");
Line 436... Line 435...
    PrintR("TG_RioPcsUart-TC2-Step10");
    PrintR("TG_RioPcsUart-TC2-Step10");
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
 
 
    WriteSymbol(SYMBOL_IDLE);
    WriteSymbol(SYMBOL_IDLE);
    ReadOctet(x"7e");
    ReadOctet(x"7e");
    WriteSymbol(SYMBOL_CONTROL_SC, x"123456" & "XXXXXXXX");
    WriteSymbol(SYMBOL_CONTROL, x"123456" & "XXXXXXXX");
    ReadOctet(x"12");
    ReadOctet(x"12");
    ReadOctet(x"34");
    ReadOctet(x"34");
    ReadOctet(x"56");
    ReadOctet(x"56");
    ReadOctet(x"7e");
    ReadOctet(x"7e");
    WriteSymbol(SYMBOL_DATA, x"789abcde");
    WriteSymbol(SYMBOL_DATA, x"789abcde");
    ReadOctet(x"78");
    ReadOctet(x"78");
    ReadOctet(x"9a");
    ReadOctet(x"9a");
    ReadOctet(x"bc");
    ReadOctet(x"bc");
    ReadOctet(x"de");
    ReadOctet(x"de");
    WriteSymbol(SYMBOL_CONTROL_SC, x"123456" & "XXXXXXXX");
    WriteSymbol(SYMBOL_CONTROL, x"123456" & "XXXXXXXX");
    ReadOctet(x"12");
    ReadOctet(x"12");
    ReadOctet(x"34");
    ReadOctet(x"34");
    ReadOctet(x"56");
    ReadOctet(x"56");
    ReadOctet(x"7e");
    ReadOctet(x"7e");
    WriteSymbol(SYMBOL_DATA, x"789abcde");
    WriteSymbol(SYMBOL_DATA, x"789abcde");
Line 516... Line 515...
 
 
    WriteOctet(x"78");
    WriteOctet(x"78");
    WriteOctet(x"9a");
    WriteOctet(x"9a");
    WriteOctet(x"bc");
    WriteOctet(x"bc");
    WriteOctet(x"7e");
    WriteOctet(x"7e");
    ReadSymbol(SYMBOL_CONTROL_SC, x"789abc" & "XXXXXXXX");
    ReadSymbol(SYMBOL_CONTROL, x"789abc" & "XXXXXXXX");
 
 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintS("Step :");
    PrintS("Step :");
    PrintS("Action: .");
    PrintS("Action: .");
    PrintS("Result: .");
    PrintS("Result: .");
Line 533... Line 532...
    WriteOctet(x"7d");
    WriteOctet(x"7d");
    WriteOctet(x"5d");
    WriteOctet(x"5d");
    WriteOctet(x"7d");
    WriteOctet(x"7d");
    WriteOctet(x"5d");
    WriteOctet(x"5d");
    WriteOctet(x"7e");
    WriteOctet(x"7e");
    ReadSymbol(SYMBOL_CONTROL_SC, x"7d7d7d" & "XXXXXXXX");
    ReadSymbol(SYMBOL_CONTROL, x"7d7d7d" & "XXXXXXXX");
 
 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintS("Step :");
    PrintS("Step :");
    PrintS("Action: .");
    PrintS("Action: .");
    PrintS("Result: .");
    PrintS("Result: .");
Line 550... Line 549...
    WriteOctet(x"7d");
    WriteOctet(x"7d");
    WriteOctet(x"5e");
    WriteOctet(x"5e");
    WriteOctet(x"7d");
    WriteOctet(x"7d");
    WriteOctet(x"5e");
    WriteOctet(x"5e");
    WriteOctet(x"7e");
    WriteOctet(x"7e");
    ReadSymbol(SYMBOL_CONTROL_SC, x"7e7e7e" & "XXXXXXXX");
    ReadSymbol(SYMBOL_CONTROL, x"7e7e7e" & "XXXXXXXX");
 
 
    ---------------------------------------------------------------------------
    ---------------------------------------------------------------------------
    PrintS("Step :");
    PrintS("Step :");
    PrintS("Action: .");
    PrintS("Action: .");
    PrintS("Result: .");
    PrintS("Result: .");

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.