Line 55... |
Line 55... |
|
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
-- Entity for RioPacketBuffer.
|
-- Entity for RioPacketBuffer.
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
entity RioPacketBuffer is
|
entity RioPacketBuffer is
|
|
generic(
|
|
SIZE_ADDRESS_WIDTH : natural := 6;
|
|
CONTENT_ADDRESS_WIDTH : natural := 8);
|
port(
|
port(
|
clk : in std_logic;
|
clk : in std_logic;
|
areset_n : in std_logic;
|
areset_n : in std_logic;
|
|
|
inboundWriteFrameFull_o : out std_logic;
|
inboundWriteFrameFull_o : out std_logic;
|
Line 95... |
Line 98... |
-- Architecture for RioPacketBuffer.
|
-- Architecture for RioPacketBuffer.
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
architecture RioPacketBufferImpl of RioPacketBuffer is
|
architecture RioPacketBufferImpl of RioPacketBuffer is
|
|
|
component PacketBufferContinous is
|
component PacketBufferContinous is
|
|
generic(
|
|
SIZE_ADDRESS_WIDTH : natural;
|
|
CONTENT_ADDRESS_WIDTH : natural);
|
port(
|
port(
|
clk : in std_logic;
|
clk : in std_logic;
|
areset_n : in std_logic;
|
areset_n : in std_logic;
|
|
|
writeFrameFull_o : out std_logic;
|
writeFrameFull_o : out std_logic;
|
Line 121... |
Line 127... |
|
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
-- Outbound frame buffers.
|
-- Outbound frame buffers.
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
OutboundPacketBuffer: PacketBufferContinous
|
OutboundPacketBuffer: PacketBufferContinous
|
|
generic map(
|
|
SIZE_ADDRESS_WIDTH=>SIZE_ADDRESS_WIDTH,
|
|
CONTENT_ADDRESS_WIDTH=>CONTENT_ADDRESS_WIDTH)
|
port map(
|
port map(
|
clk=>clk,
|
clk=>clk,
|
areset_n=>areset_n,
|
areset_n=>areset_n,
|
writeFrameFull_o=>outboundWriteFrameFull_o,
|
writeFrameFull_o=>outboundWriteFrameFull_o,
|
writeFrame_i=>outboundWriteFrame_i, writeFrameAbort_i=>outboundWriteFrameAbort_i,
|
writeFrame_i=>outboundWriteFrame_i, writeFrameAbort_i=>outboundWriteFrameAbort_i,
|
Line 139... |
Line 148... |
|
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
-- Inbound frame buffers.
|
-- Inbound frame buffers.
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
InboundPacketBuffer: PacketBufferContinous
|
InboundPacketBuffer: PacketBufferContinous
|
|
generic map(
|
|
SIZE_ADDRESS_WIDTH=>SIZE_ADDRESS_WIDTH,
|
|
CONTENT_ADDRESS_WIDTH=>CONTENT_ADDRESS_WIDTH)
|
port map(
|
port map(
|
clk=>clk,
|
clk=>clk,
|
areset_n=>areset_n,
|
areset_n=>areset_n,
|
writeFrameFull_o=>inboundWriteFrameFull_o,
|
writeFrameFull_o=>inboundWriteFrameFull_o,
|
writeFrame_i=>inboundWriteFrame_i, writeFrameAbort_i=>inboundWriteFrameAbort_i,
|
writeFrame_i=>inboundWriteFrame_i, writeFrameAbort_i=>inboundWriteFrameAbort_i,
|
Line 172... |
Line 184... |
|
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
-- Entity for RioPacketBufferWindow.
|
-- Entity for RioPacketBufferWindow.
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
entity RioPacketBufferWindow is
|
entity RioPacketBufferWindow is
|
|
generic(
|
|
SIZE_ADDRESS_WIDTH : natural := 6;
|
|
CONTENT_ADDRESS_WIDTH : natural := 8);
|
port(
|
port(
|
clk : in std_logic;
|
clk : in std_logic;
|
areset_n : in std_logic;
|
areset_n : in std_logic;
|
|
|
inboundWriteFrameFull_o : out std_logic;
|
inboundWriteFrameFull_o : out std_logic;
|
Line 215... |
Line 230... |
-- Architecture for RioPacketBufferWindow.
|
-- Architecture for RioPacketBufferWindow.
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
architecture RioPacketBufferWindowImpl of RioPacketBufferWindow is
|
architecture RioPacketBufferWindowImpl of RioPacketBufferWindow is
|
|
|
component PacketBufferContinous is
|
component PacketBufferContinous is
|
|
generic(
|
|
SIZE_ADDRESS_WIDTH : natural;
|
|
CONTENT_ADDRESS_WIDTH : natural);
|
port(
|
port(
|
clk : in std_logic;
|
clk : in std_logic;
|
areset_n : in std_logic;
|
areset_n : in std_logic;
|
|
|
writeFrameFull_o : out std_logic;
|
writeFrameFull_o : out std_logic;
|
Line 237... |
Line 255... |
readContentEnd_o : out std_logic;
|
readContentEnd_o : out std_logic;
|
readContentData_o : out std_logic_vector(31 downto 0));
|
readContentData_o : out std_logic_vector(31 downto 0));
|
end component;
|
end component;
|
|
|
component PacketBufferContinousWindow is
|
component PacketBufferContinousWindow is
|
|
generic(
|
|
SIZE_ADDRESS_WIDTH : natural;
|
|
CONTENT_ADDRESS_WIDTH : natural);
|
port(
|
port(
|
clk : in std_logic;
|
clk : in std_logic;
|
areset_n : in std_logic;
|
areset_n : in std_logic;
|
|
|
writeFrameFull_o : out std_logic;
|
writeFrameFull_o : out std_logic;
|
Line 268... |
Line 289... |
|
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
-- Outbound frame buffers.
|
-- Outbound frame buffers.
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
OutboundPacketBuffer: PacketBufferContinousWindow
|
OutboundPacketBuffer: PacketBufferContinousWindow
|
|
generic map(
|
|
SIZE_ADDRESS_WIDTH=>SIZE_ADDRESS_WIDTH,
|
|
CONTENT_ADDRESS_WIDTH=>CONTENT_ADDRESS_WIDTH)
|
port map(
|
port map(
|
clk=>clk,
|
clk=>clk,
|
areset_n=>areset_n,
|
areset_n=>areset_n,
|
writeFrameFull_o=>outboundWriteFrameFull_o,
|
writeFrameFull_o=>outboundWriteFrameFull_o,
|
writeFrame_i=>outboundWriteFrame_i, writeFrameAbort_i=>outboundWriteFrameAbort_i,
|
writeFrame_i=>outboundWriteFrame_i, writeFrameAbort_i=>outboundWriteFrameAbort_i,
|
Line 289... |
Line 313... |
|
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
-- Inbound frame buffers.
|
-- Inbound frame buffers.
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
InboundPacketBuffer: PacketBufferContinous
|
InboundPacketBuffer: PacketBufferContinous
|
|
generic map(
|
|
SIZE_ADDRESS_WIDTH=>SIZE_ADDRESS_WIDTH,
|
|
CONTENT_ADDRESS_WIDTH=>CONTENT_ADDRESS_WIDTH)
|
port map(
|
port map(
|
clk=>clk,
|
clk=>clk,
|
areset_n=>areset_n,
|
areset_n=>areset_n,
|
writeFrameFull_o=>inboundWriteFrameFull_o,
|
writeFrameFull_o=>inboundWriteFrameFull_o,
|
writeFrame_i=>inboundWriteFrame_i, writeFrameAbort_i=>inboundWriteFrameAbort_i,
|
writeFrame_i=>inboundWriteFrame_i, writeFrameAbort_i=>inboundWriteFrameAbort_i,
|
Line 325... |
Line 352... |
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
-- Entity for PacketBufferContinous.
|
-- Entity for PacketBufferContinous.
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
entity PacketBufferContinous is
|
entity PacketBufferContinous is
|
generic(
|
generic(
|
SIZE_ADDRESS_WIDTH : natural := 6;
|
SIZE_ADDRESS_WIDTH : natural;
|
CONTENT_ADDRESS_WIDTH : natural := 8);
|
CONTENT_ADDRESS_WIDTH : natural);
|
port(
|
port(
|
clk : in std_logic;
|
clk : in std_logic;
|
areset_n : in std_logic;
|
areset_n : in std_logic;
|
|
|
writeFrameFull_o : out std_logic;
|
writeFrameFull_o : out std_logic;
|
Line 562... |
Line 589... |
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
-- Entity for PacketBufferContinousWindow.
|
-- Entity for PacketBufferContinousWindow.
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
entity PacketBufferContinousWindow is
|
entity PacketBufferContinousWindow is
|
generic(
|
generic(
|
SIZE_ADDRESS_WIDTH : natural := 6;
|
SIZE_ADDRESS_WIDTH : natural;
|
CONTENT_ADDRESS_WIDTH : natural := 8);
|
CONTENT_ADDRESS_WIDTH : natural);
|
port(
|
port(
|
clk : in std_logic;
|
clk : in std_logic;
|
areset_n : in std_logic;
|
areset_n : in std_logic;
|
|
|
writeFrameFull_o : out std_logic;
|
writeFrameFull_o : out std_logic;
|