OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [debugger/] [.gitignore] - Diff between revs 2 and 4

Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 1... Line 1...
*.sdf
*.sdf
*.opensdf
*.opensdf
*.suo
*.suo
*.err
*.err
Debug
Debug
 
!src/common/debug
Release
Release
*.log
*.log
*.rcc
*.rcc
 
*.pyc
linuxbuild
linuxbuild
win32build
win32build
win64build
win64build
moc_*.h
moc_*.h

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.