OpenCores
URL https://opencores.org/ocsvn/rise/rise/trunk

Subversion Repositories rise

[/] [rise/] [trunk/] [vhdl/] [imem.vhd] - Diff between revs 24 and 37

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 24 Rev 37
Line 17... Line 17...
entity imem is
entity imem is
 
 
  port (
  port (
    clk            : in std_logic;
    clk            : in std_logic;
    reset          : in std_logic;
    reset          : in std_logic;
 
 
         wr_enable               : in std_logic;
         wr_enable               : in std_logic;
    addr           : in MEM_ADDR_T;
    addr           : in MEM_ADDR_T;
    data_in        : in MEM_DATA_T;
    data_in        : in MEM_DATA_T;
    data_out       : out MEM_DATA_T);
    data_out       : out MEM_DATA_T);
 
 
Line 50... Line 49...
                        dout => data_out,
                        dout => data_out,
                        sinit => reset,
                        sinit => reset,
                        we => wr_enable);
                        we => wr_enable);
 
 
end imem_rtl;
end imem_rtl;
 No newline at end of file
 No newline at end of file
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.