OpenCores
URL https://opencores.org/ocsvn/rise/rise/trunk

Subversion Repositories rise

[/] [rise/] [trunk/] [vhdl/] [rise.vhd] - Diff between revs 71 and 94

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 71 Rev 94
Line 55... Line 55...
  -- ex_stage signals
  -- ex_stage signals
  signal ex_mem_register_sig       :  EX_MEM_REGISTER_T;
  signal ex_mem_register_sig       :  EX_MEM_REGISTER_T;
  signal stall_in_ex_sig           : std_logic;
  signal stall_in_ex_sig           : std_logic;
  signal clear_in_ex_sig           : std_logic;
  signal clear_in_ex_sig           : std_logic;
  signal clear_out_ex_sig          : std_logic;
  signal clear_out_ex_sig          : std_logic;
 
  signal clear_locks_sig           : std_logic;
  -- mem_stage signals
  -- mem_stage signals
  signal mem_wb_register_sig       : MEM_WB_REGISTER_T;
  signal mem_wb_register_sig       : MEM_WB_REGISTER_T;
  signal dmem_addr_sig             : MEM_ADDR_T;
  signal dmem_addr_sig             : MEM_ADDR_T;
  signal dmem_data_in_sig          : MEM_DATA_T;
  signal dmem_data_in_sig          : MEM_DATA_T;
  signal dmem_data_out_sig         : MEM_DATA_T;
  signal dmem_data_out_sig         : MEM_DATA_T;
Line 148... Line 149...
      ex_mem_register     : out EX_MEM_REGISTER_T;
      ex_mem_register     : out EX_MEM_REGISTER_T;
 
 
      branch              : out std_logic;
      branch              : out std_logic;
      stall_in            : in std_logic;
      stall_in            : in std_logic;
      clear_in            : in std_logic;
      clear_in            : in std_logic;
      clear_out           : out std_logic);
      clear_out           : out std_logic;
 
      clear_locks         : out std_logic);
  end component;
  end component;
 
 
  component mem_stage
  component mem_stage
    port (
    port (
      clk                 : in std_logic;
      clk                 : in std_logic;
Line 246... Line 248...
 
 
  component rlu
  component rlu
    port (
    port (
      clk   : in std_logic;
      clk   : in std_logic;
      reset : in std_logic;
      reset : in std_logic;
 
      clear_locks : in std_logic;
 
 
      lock_register       : out LOCK_REGISTER_T;
      lock_register       : out LOCK_REGISTER_T;
 
 
      set_lock0           : in std_logic;
      set_lock0           : in std_logic;
      set_lock_addr0      : in REGISTER_ADDR_T;
      set_lock_addr0      : in REGISTER_ADDR_T;
Line 322... Line 325...
      ex_mem_register     => ex_mem_register_sig,
      ex_mem_register     => ex_mem_register_sig,
 
 
      branch              => branch_sig,
      branch              => branch_sig,
      stall_in            => stall_in_ex_sig,
      stall_in            => stall_in_ex_sig,
      clear_in            => clear_in_ex_sig,
      clear_in            => clear_in_ex_sig,
      clear_out           => clear_out_ex_sig);
      clear_out           => clear_out_ex_sig,
 
      clear_locks         => clear_locks_sig);
 
 
  mem_stage_unit : mem_stage
  mem_stage_unit : mem_stage
    port map (
    port map (
      clk                 => clk,
      clk                 => clk,
      reset               => reset,
      reset               => reset,
Line 413... Line 417...
      data_out       => dmem_data_in_sig);
      data_out       => dmem_data_in_sig);
 
 
  rlu_unit : rlu port map(
  rlu_unit : rlu port map(
    clk                 => clk,
    clk                 => clk,
    reset               => reset,
    reset               => reset,
 
    clear_locks         => clear_locks_sig,
 
 
    lock_register       => lock_register_sig,
    lock_register       => lock_register_sig,
 
 
    set_lock0           => set_lock0_sig,
    set_lock0           => set_lock0_sig,
    set_lock_addr0      => set_lock_addr0_sig,
    set_lock_addr0      => set_lock_addr0_sig,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.