OpenCores
URL https://opencores.org/ocsvn/rise/rise/trunk

Subversion Repositories rise

[/] [rise/] [trunk/] [vhdl/] [tb_rise_uart.vhd] - Diff between revs 141 and 142

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 141 Rev 142
Line 86... Line 86...
    rx <= '1';                          -- 8 stopbit
    rx <= '1';                          -- 8 stopbit
    wait for 8600ns;
    wait for 8600ns;
 
 
    wait for 20us;
    wait for 20us;
 
 
 
    -- send a 'CR' = 0x0A
    rx <= '0';                          -- 8 startbit
    rx <= '0';                          -- 8 startbit
    wait for 8600ns;
    wait for 8600ns;
 
    rx <= '0';                          -- 8 datenbits
 
    wait for 8600ns;
    rx <= '1';                          -- 8 datenbits
    rx <= '1';                          -- 8 datenbits
    wait for 8600ns;
    wait for 8600ns;
    rx <= '0';                          -- 8 datenbits
    rx <= '0';                          -- 8 datenbits
    wait for 8600ns;
    wait for 8600ns;
    rx <= '0';                          -- 8 datenbits
    rx <= '1';                          -- 8 datenbits
    wait for 8600ns;
    wait for 8600ns;
    rx <= '0';                          -- 8 datenbits
    rx <= '0';                          -- 8 datenbits
    wait for 8600ns;
    wait for 8600ns;
    rx <= '0';                          -- 8 datenbits
    rx <= '0';                          -- 8 datenbits
    wait for 8600ns;
    wait for 8600ns;
    rx <= '1';                          -- 8 datenbits
    rx <= '0';                          -- 8 datenbits
    wait for 8600ns;
 
    rx <= '1';                          -- 8 datenbits
 
    wait for 8600ns;
    wait for 8600ns;
    rx <= '0';                          -- 8 datenbits
    rx <= '0';                          -- 8 datenbits
    wait for 8600ns;
    wait for 8600ns;
    rx <= '1';                          -- 8 stopbit
    rx <= '1';                          -- 8 stopbit
    wait for 8600ns;
    wait for 8600ns;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.