OpenCores
URL https://opencores.org/ocsvn/rs232_interface/rs232_interface/trunk

Subversion Repositories rs232_interface

[/] [rs232_interface/] [trunk/] [README] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 1... Line 1...
Initial Commit
 
SVN test 2020/09/25
About this project:
 
 
 
        This is a small UART to uPC interface. Ideal to use with soft/hard processors in a FPGA project.
 
 
 
Change Log:
 
 
 
        2010/11/21:
 
        - Included main file.
 
 
 
        2020/09/25:
 
        - Initial Commit.
 
        - SVN test.
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.