OpenCores
URL https://opencores.org/ocsvn/rs232_interface/rs232_interface/trunk

Subversion Repositories rs232_interface

[/] [rs232_interface/] [trunk/] [uart.vhd] - Diff between revs 3 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 5
Line 13... Line 13...
        CLK_FREQ        : integer := 50;                -- Main frequency (MHz)
        CLK_FREQ        : integer := 50;                -- Main frequency (MHz)
        SER_FREQ        : integer := 9600       -- Baud rate (bps)
        SER_FREQ        : integer := 9600       -- Baud rate (bps)
);
);
port (
port (
        -- Control
        -- Control
        clk                     : in    std_logic;
        clk                     : in    std_logic;              -- Main clock
        rst                     : in    std_logic;
        rst                     : in    std_logic;              -- Main reset
        -- External Interface
        -- External Interface
        rx                      : in    std_logic;
        rx                      : in    std_logic;              -- RS232 received serial data
        tx                      : out   std_logic;
        tx                      : out   std_logic;              -- RS232 transmitted serial data
        -- uPC Interface
        -- uPC Interface
        tx_req          : in    std_logic;
        tx_req          : in    std_logic;                                              -- Request SEND of data
        tx_end          : out   std_logic;
        tx_end          : out   std_logic;                                              -- Data SENDED
        tx_data         : in    std_logic_vector(7 downto 0);
        tx_data         : in    std_logic_vector(7 downto 0);    -- Data to transmit
        rx_ready        : out   std_logic;
        rx_ready        : out   std_logic;                                              -- Received data ready to uPC read
        rx_data         : out   std_logic_vector(7 downto 0)
        rx_data         : out   std_logic_vector(7 downto 0)     -- Received data 
);
);
end uart;
end uart;
 
 
architecture Behavioral of uart is
architecture Behavioral of uart is
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.