OpenCores
URL https://opencores.org/ocsvn/rs232_interface/rs232_interface/trunk

Subversion Repositories rs232_interface

[/] [rs232_interface/] [trunk/] [uart.vhd] - Diff between revs 5 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 1... Line 1...
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Create Date: 21:12:48 05/06/2010 
-- Creation Date: 21:12:48 05/06/2010 
-- Module Name: UART - Behavioral
-- Module Name: RS232/UART Interface - Behavioral
-- Used TAB of 4 Spaces
-- Used TAB of 4 Spaces
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
Line 43... Line 43...
        signal tx_fsm                   :       state;
        signal tx_fsm                   :       state;
        signal clock_en         :       std_logic;
        signal clock_en         :       std_logic;
 
 
        -- Data Temp
        -- Data Temp
        signal data_cnt_tx      :       std_logic_vector(2 downto 0) := "000";
        signal data_cnt_tx      :       std_logic_vector(2 downto 0) := "000";
        signal data_cnt_rx      :       std_logic_vector(2 downto 0) := "000";
        signal data_cnt_rx      :       std_logic_vector(2 downto 0);
        signal rx_data_tmp      :       std_logic_vector(7 downto 0);
        signal rx_data_tmp      :       std_logic_vector(7 downto 0);
        signal tx_data_tmp      :       std_logic_vector(7 downto 0);
        signal tx_data_tmp      :       std_logic_vector(7 downto 0);
 
 
begin
begin
 
 
Line 154... Line 154...
                                if rst = '1' then
                                if rst = '1' then
                                        rx_fsm                  <=      idle;
                                        rx_fsm                  <=      idle;
                                        rx_ready                        <= '0';
                                        rx_ready                        <= '0';
                                        rx_data                 <= (others=>'0');
                                        rx_data                 <= (others=>'0');
                                        data_cnt_rx             <= (others=>'0');
                                        data_cnt_rx             <= (others=>'0');
 
                                        rx_data_tmp             <=      (others=>'0');
                                end if;
                                end if;
                        end if;
                        end if;
                end if;
                end if;
        end process;
        end process;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.