OpenCores
URL https://opencores.org/ocsvn/rtf65002/rtf65002/trunk

Subversion Repositories rtf65002

[/] [rtf65002/] [trunk/] [rtl/] [verilog/] [byte_ix.v] - Diff between revs 10 and 21

Show entire file | Details | Blame | View Log

Rev 10 Rev 21
Line 46... Line 46...
                ia[7:0] <= dati;
                ia[7:0] <= dati;
                radr <= radr34p1[33:2];
                radr <= radr34p1[33:2];
                radr2LSB <= radr34p1[1:0];
                radr2LSB <= radr34p1[1:0];
                state <= BYTE_IX3;
                state <= BYTE_IX3;
        end
        end
 
        else if (err_i) begin
 
                lock_o <= 1'b0;
 
                cyc_o <= 1'b0;
 
                stb_o <= 1'b0;
 
                we_o <= 1'b0;
 
                sel_o <= 4'h0;
 
                adr_o <= 34'h0;
 
                dat_o <= 32'h0;
 
                state <= BUS_ERROR;
 
        end
BYTE_IX3:
BYTE_IX3:
        if (unCachedData) begin
        if (unCachedData) begin
                cyc_o <= 1'b1;
                cyc_o <= 1'b1;
                stb_o <= 1'b1;
                stb_o <= 1'b1;
                sel_o <= 4'hf;
                sel_o <= 4'hf;
Line 71... Line 81...
                adr_o <= 34'h0;
                adr_o <= 34'h0;
                ia[15:8] <= dati;
                ia[15:8] <= dati;
                ia[31:16] <= 16'h0000;
                ia[31:16] <= 16'h0000;
                state <= BYTE_IX5;
                state <= BYTE_IX5;
        end
        end
 
        else if (err_i) begin
 
                lock_o <= 1'b0;
 
                cyc_o <= 1'b0;
 
                stb_o <= 1'b0;
 
                we_o <= 1'b0;
 
                sel_o <= 4'h0;
 
                adr_o <= 34'h0;
 
                dat_o <= 32'h0;
 
                state <= BUS_ERROR;
 
        end
BYTE_IX5:
BYTE_IX5:
        begin
        begin
                radr <= ia[31:2];
                radr <= ia[31:2];
                radr2LSB <= ia[1:0];
                radr2LSB <= ia[1:0];
                state <= LOAD1;
                state <= LOAD1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.