OpenCores
URL https://opencores.org/ocsvn/rtf65002/rtf65002/trunk

Subversion Repositories rtf65002

[/] [rtf65002/] [trunk/] [rtl/] [verilog/] [decode.v] - Diff between revs 23 and 25

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 23 Rev 25
Line 23... Line 23...
DECODE:
DECODE:
        begin
        begin
                first_ifetch <= `TRUE;
                first_ifetch <= `TRUE;
                Rt <= 4'h0;             // Default
                Rt <= 4'h0;             // Default
                state <= IFETCH;
                state <= IFETCH;
 
                pc <= pcp1;
                case(ir[7:0])
                case(ir[7:0])
                `STP:   begin clk_en <= 1'b0; pc <= pc + 32'd1; end
                `STP:   begin clk_en <= 1'b0; end
                `NOP:   begin pc <= pc + 32'd1; end
                `NOP:   casex(ir[63:0])
                `CLC:   begin cf <= 1'b0; pc <= pc + 32'd1; end
                                {`NOP,`NOP,`NOP,`NOP,`NOP,`NOP,`NOP,`NOP}:      pc <= pcp8;
                `SEC:   begin cf <= 1'b1; pc <= pc + 32'd1; end
                                {8'hxx,`NOP,`NOP,`NOP,`NOP,`NOP,`NOP,`NOP}:     pc <= pcp7;
                `CLV:   begin vf <= 1'b0; pc <= pc + 32'd1; end
                                {16'hxxxx,`NOP,`NOP,`NOP,`NOP,`NOP,`NOP}:       pc <= pcp6;
                `CLI:   begin im <= 1'b0; pc <= pc + 32'd1; end
                                {24'hxxxxxx,`NOP,`NOP,`NOP,`NOP,`NOP}:  pc <= pcp5;
                `CLD:   begin df <= 1'b0; pc <= pc + 32'd1; end
                                {32'hxxxxxxxx,`NOP,`NOP,`NOP,`NOP}:     pc <= pcp4;
                `SED:   begin df <= 1'b1; pc <= pc + 32'd1; end
                                {40'hxxxxxxxxxx,`NOP,`NOP,`NOP}: pc <= pcp3;
                `SEI:   begin im <= 1'b1; pc <= pc + 32'd1; end
                                {48'hxxxxxxxxxxxx,`NOP,`NOP}:   pc <= pcp2;
                `WAI:   begin wai <= 1'b1; pc <= pc + 32'd1; end
                                {56'hxxxxxxxxxxxxxx,`NOP}:      pc <= pcp1;
                `EMM:   begin em <= 1'b1; pc <= pc + 32'd1; end
                                endcase
                `DEX:   begin res <= x - 32'd1; pc <= pc + 32'd1; end
                `CLC:   begin cf <= 1'b0; end
                `INX:   begin res <= x + 32'd1; pc <= pc + 32'd1; end
                `SEC:   begin cf <= 1'b1; end
                `DEY:   begin res <= y - 32'd1; pc <= pc + 32'd1; end
                `CLV:   begin vf <= 1'b0; end
                `INY:   begin res <= y + 32'd1; pc <= pc + 32'd1; end
                `CLI:   begin im <= 1'b0; end
                `DEA:   begin res <= acc - 32'd1; pc <= pc + 32'd1; end
                `CLD:   begin df <= 1'b0; end
                `INA:   begin res <= acc + 32'd1; pc <= pc + 32'd1; end
                `SED:   begin df <= 1'b1; end
                `TSX,`TSA:      begin res <= isp; pc <= pc + 32'd1; end
                `SEI:   begin im <= 1'b1; end
                `TXS,`TXA,`TXY: begin res <= x; pc <= pc + 32'd1; end
                `WAI:   begin wai <= 1'b1; end
                `TAX,`TAY,`TAS: begin res <= acc; pc <= pc + 32'd1; end
                `EMM:   begin em <= 1'b1; end
                `TYA,`TYX:      begin res <= y; pc <= pc + 32'd1; end
                `DEX:   begin
 
                                        res <= x - 32'd1;
 
                                        // DEX/BNE accelerator
 
//                                      if (ir[15:8]==`BNE) begin
 
//                                              if (x!=32'd1) begin
 
//                                                      if (ir[23:16]==8'h01)
 
//                                                              pc <= pc + {{16{ir[39]}},ir[39:24]} + 32'd1;
 
//                                                      else
 
//                                                              pc <= pc + {{24{ir[23]}},ir[23:16]} + 32'd1;
 
//                                              end
 
//                                              else begin
 
//                                                      if (ir[23:16]==8'h01)
 
//                                                              pc <= pcp5;
 
//                                                      else
 
//                                                              pc <= pcp3;
 
//                                              end
 
//                                      end
 
                                end
 
                `INX:   begin res <= x + 32'd1; end
 
                `DEY:   begin res <= y - 32'd1; end
 
                `INY:   begin res <= y + 32'd1; end
 
                `DEA:   begin res <= acc - 32'd1; end
 
                `INA:   begin res <= acc + 32'd1; end
 
                `TSX,`TSA:      begin res <= isp; end
 
                `TXS,`TXA,`TXY: begin res <= x; end
 
                `TAX,`TAY,`TAS: begin res <= acc; end
 
                `TYA,`TYX:      begin res <= y; end
                `TRS:           begin
                `TRS:           begin
                                                res <= rfoa; pc <= pc + 32'd2; end
                                                res <= rfoa; pc <= pcp2; end
                `TSR:           begin
                `TSR:           begin
                                                Rt <= ir[15:12];
                                                Rt <= ir[15:12];
                                                case(ir[11:8])
                                                case(ir[11:8])
                                                4'h0:   res <= {write_allocate,dcacheOn,icacheOn};
                                                4'h0:   res <= {write_allocate,dcacheOn,icacheOn};
                                                4'h1:   res <= dp;
                                                4'h1:   res <= dp;
Line 63... Line 90...
                                                4'h8:   res <= {vbr[31:1],nmoi};
                                                4'h8:   res <= {vbr[31:1],nmoi};
                                                4'h9:   res <= derr_address;
                                                4'h9:   res <= derr_address;
                                                4'hE:   res <= {spage[31:8],sp};
                                                4'hE:   res <= {spage[31:8],sp};
                                                4'hF:   res <= isp;
                                                4'hF:   res <= isp;
                                                endcase
                                                endcase
                                                pc <= pc + 32'd2;
                                                pc <= pcp2;
                                        end
                                        end
                `ASL_ACC:       begin res <= {acc,1'b0}; pc <= pc + 32'd1; end
                `ASL_ACC:       begin res <= {acc,1'b0}; end
                `ROL_ACC:       begin res <= {acc,cf}; pc <= pc + 32'd1; end
                `ROL_ACC:       begin res <= {acc,cf};end
                `LSR_ACC:       begin res <= {acc[0],1'b0,acc[31:1]}; pc <= pc + 32'd1; end
                `LSR_ACC:       begin res <= {acc[0],1'b0,acc[31:1]}; end
                `ROR_ACC:       begin res <= {acc[0],cf,acc[31:1]}; pc <= pc + 32'd1; end
                `ROR_ACC:       begin res <= {acc[0],cf,acc[31:1]}; end
 
 
                `RR:
                `RR:
                        begin
                        begin
                                state <= IFETCH;
                                state <= IFETCH;
                                case(ir[23:20])
                                case(ir[23:20])
Line 89... Line 116...
                                `MODS_RR:       begin state <= MULDIV1; end
                                `MODS_RR:       begin state <= MULDIV1; end
                                `ASL_RRR:       begin a <= rfoa; b <= rfob; state <= CALC; end
                                `ASL_RRR:       begin a <= rfoa; b <= rfob; state <= CALC; end
                                `LSR_RRR:       begin a <= rfoa; b <= rfob; state <= CALC; end
                                `LSR_RRR:       begin a <= rfoa; b <= rfob; state <= CALC; end
                                endcase
                                endcase
                                Rt <= ir[19:16];
                                Rt <= ir[19:16];
                                pc <= pc + 32'd3;
                                pc <= pcp3;
                        end
                        end
                `LD_RR:         begin res <= rfoa; Rt <= ir[15:12]; pc <= pc + 32'd2; end
                `LD_RR:         begin res <= rfoa; Rt <= ir[15:12]; pc <= pcp2; end
                `ASL_RR:        begin res <= {rfoa,1'b0}; pc <= pc + 32'd2; Rt <= ir[15:12]; end
                `ASL_RR:        begin res <= {rfoa,1'b0}; pc <= pcp2; Rt <= ir[15:12]; end
                `ROL_RR:        begin res <= {rfoa,cf}; pc <= pc + 32'd2; Rt <= ir[15:12]; end
                `ROL_RR:        begin res <= {rfoa,cf}; pc <= pcp2; Rt <= ir[15:12]; end
                `LSR_RR:        begin res <= {rfoa[0],1'b0,rfoa[31:1]}; pc <= pc + 32'd2; Rt <= ir[15:12]; end
                `LSR_RR:        begin res <= {rfoa[0],1'b0,rfoa[31:1]}; pc <= pcp2; Rt <= ir[15:12]; end
                `ROR_RR:        begin res <= {rfoa[0],cf,rfoa[31:1]}; pc <= pc + 32'd2; Rt <= ir[15:12]; end
                `ROR_RR:        begin res <= {rfoa[0],cf,rfoa[31:1]}; pc <= pcp2; Rt <= ir[15:12]; end
                `DEC_RR:        begin res <= rfoa - 32'd1; pc <= pc + 32'd2; Rt <= ir[15:12]; end
                `DEC_RR:        begin res <= rfoa - 32'd1; pc <= pcp2; Rt <= ir[15:12]; end
                `INC_RR:        begin res <= rfoa + 32'd1; pc <= pc + 32'd2; Rt <= ir[15:12]; end
                `INC_RR:        begin res <= rfoa + 32'd1; pc <= pcp2; Rt <= ir[15:12]; end
 
 
                `ADD_IMM8:      begin res <= rfoa + {{24{ir[23]}},ir[23:16]} + {31'b0,df&cf}; Rt <= ir[15:12]; pc <= pc + 32'd3; a <= rfoa; b <= {{24{ir[23]}},ir[23:16]}; end
                `ADD_IMM8:      begin res <= rfoa + {{24{ir[23]}},ir[23:16]} + {31'b0,df&cf}; Rt <= ir[15:12]; pc <= pcp3; a <= rfoa; b <= {{24{ir[23]}},ir[23:16]}; end
                `SUB_IMM8:      begin res <= rfoa - {{24{ir[23]}},ir[23:16]} - {31'b0,df&~cf&|ir[15:12]}; Rt <= ir[15:12]; pc <= pc + 32'd3; a <= rfoa; b <= {{24{ir[23]}},ir[23:16]}; end
                `SUB_IMM8:      begin res <= rfoa - {{24{ir[23]}},ir[23:16]} - {31'b0,df&~cf&|ir[15:12]}; Rt <= ir[15:12]; pc <= pcp3; a <= rfoa; b <= {{24{ir[23]}},ir[23:16]}; end
                `OR_IMM8:       begin res <= rfoa | {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pc + 32'd3; b <= {{24{ir[23]}},ir[23:16]}; end
                `OR_IMM8:       begin res <= rfoa | {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pcp3; b <= {{24{ir[23]}},ir[23:16]}; end
                `AND_IMM8:      begin res <= rfoa & {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pc + 32'd3; b <= {{24{ir[23]}},ir[23:16]}; end
                `AND_IMM8:      begin res <= rfoa & {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pcp3; b <= {{24{ir[23]}},ir[23:16]}; end
                `EOR_IMM8:      begin res <= rfoa ^ {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pc + 32'd3; b <= {{24{ir[23]}},ir[23:16]}; end
                `EOR_IMM8:      begin res <= rfoa ^ {{24{ir[23]}},ir[23:16]}; Rt <= ir[15:12]; pc <= pcp3; b <= {{24{ir[23]}},ir[23:16]}; end
                `CMP_IMM8:      begin res <= acc - {{24{ir[15]}},ir[15:8]}; Rt <= 4'h0; pc <= pc + 32'd2; b <= {{24{ir[15]}},ir[15:8]}; end
                `CMP_IMM8:      begin res <= acc - {{24{ir[15]}},ir[15:8]}; Rt <= 4'h0; pc <= pcp2; b <= {{24{ir[15]}},ir[15:8]}; end
                `ASL_IMM8:      begin a <= rfoa; b <= ir[20:16]; Rt <= ir[15:12]; pc <= pc + 32'd3; state <= CALC; end
                `ASL_IMM8:      begin a <= rfoa; b <= ir[20:16]; Rt <= ir[15:12]; pc <= pcp3; state <= CALC; end
                `LSR_IMM8:      begin a <= rfoa; b <= ir[20:16]; Rt <= ir[15:12]; pc <= pc + 32'd3; state <= CALC; end
                `LSR_IMM8:      begin a <= rfoa; b <= ir[20:16]; Rt <= ir[15:12]; pc <= pcp3; state <= CALC; end
 
 
                `ADD_IMM16:     begin res <= rfoa + {{16{ir[31]}},ir[31:16]} + {31'b0,df&cf}; Rt <= ir[15:12]; pc <= pc + 32'd4; a <= rfoa; b <= {{16{ir[31]}},ir[31:16]}; end
                `ADD_IMM16:     begin res <= rfoa + {{16{ir[31]}},ir[31:16]} + {31'b0,df&cf}; Rt <= ir[15:12]; pc <= pcp4; a <= rfoa; b <= {{16{ir[31]}},ir[31:16]}; end
                `SUB_IMM16:     begin res <= rfoa - {{16{ir[31]}},ir[31:16]} - {31'b0,df&~cf&|ir[15:12]}; Rt <= ir[15:12]; pc <= pc + 32'd4; a <= rfoa; b <= {{16{ir[31]}},ir[31:16]}; end
                `SUB_IMM16:     begin res <= rfoa - {{16{ir[31]}},ir[31:16]} - {31'b0,df&~cf&|ir[15:12]}; Rt <= ir[15:12]; pc <= pcp4; a <= rfoa; b <= {{16{ir[31]}},ir[31:16]}; end
                `OR_IMM16:      begin res <= rfoa | {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pc + 32'd4; b <= {{16{ir[31]}},ir[31:16]}; end
                `OR_IMM16:      begin res <= rfoa | {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pcp4; b <= {{16{ir[31]}},ir[31:16]}; end
                `AND_IMM16:     begin res <= rfoa & {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pc + 32'd4; b <= {{16{ir[31]}},ir[31:16]}; end
                `AND_IMM16:     begin res <= rfoa & {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pcp4; b <= {{16{ir[31]}},ir[31:16]}; end
                `EOR_IMM16:     begin res <= rfoa ^ {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pc + 32'd4; b <= {{16{ir[31]}},ir[31:16]}; end
                `EOR_IMM16:     begin res <= rfoa ^ {{16{ir[31]}},ir[31:16]}; Rt <= ir[15:12]; pc <= pcp4; b <= {{16{ir[31]}},ir[31:16]}; end
 
 
                `ADD_IMM32:     begin res <= rfoa + ir[47:16]; Rt <= ir[15:12] + {31'b0,df&cf}; pc <= pc + 32'd6; a <= rfoa; b <= ir[47:16]; end
                `ADD_IMM32:     begin res <= rfoa + ir[47:16]; Rt <= ir[15:12] + {31'b0,df&cf}; pc <= pcp6; a <= rfoa; b <= ir[47:16]; end
                `SUB_IMM32:     begin res <= rfoa - ir[47:16]; Rt <= ir[15:12] - {31'b0,df&~cf&|ir[15:12]}; pc <= pc + 32'd6; a <= rfoa; b <= ir[47:16]; end
                `SUB_IMM32:     begin res <= rfoa - ir[47:16]; Rt <= ir[15:12] - {31'b0,df&~cf&|ir[15:12]}; pc <= pcp6; a <= rfoa; b <= ir[47:16]; end
                `OR_IMM32:      begin res <= rfoa | ir[47:16]; Rt <= ir[15:12]; pc <= pc + 32'd6; b <= ir[47:16]; end
                `OR_IMM32:      begin res <= rfoa | ir[47:16]; Rt <= ir[15:12]; pc <= pcp6; b <= ir[47:16]; end
                `AND_IMM32:     begin res <= rfoa & ir[47:16]; Rt <= ir[15:12]; pc <= pc + 32'd6; b <= ir[47:16]; end
                `AND_IMM32:     begin res <= rfoa & ir[47:16]; Rt <= ir[15:12]; pc <= pcp6; b <= ir[47:16]; end
                `EOR_IMM32:     begin res <= rfoa ^ ir[47:16]; Rt <= ir[15:12]; pc <= pc + 32'd6; b <= ir[47:16]; end
                `EOR_IMM32:     begin res <= rfoa ^ ir[47:16]; Rt <= ir[15:12]; pc <= pcp6; b <= ir[47:16]; end
 
 
                `LDX_IMM32,`LDY_IMM32,`LDA_IMM32:       begin res <= ir[39:8]; pc <= pc + 32'd5; end
                `LDX_IMM32,`LDY_IMM32,`LDA_IMM32:       begin res <= ir[39:8]; pc <= pcp5; end
                `LDX_IMM16,`LDA_IMM16:  begin res <= {{16{ir[23]}},ir[23:8]}; pc <= pc + 32'd3; end
                `LDX_IMM16,`LDA_IMM16:  begin res <= {{16{ir[23]}},ir[23:8]}; pc <= pcp3; end
                `LDX_IMM8,`LDA_IMM8: begin res <= {{24{ir[15]}},ir[15:8]}; pc <= pc + 32'd2; end
                `LDX_IMM8,`LDA_IMM8: begin res <= {{24{ir[15]}},ir[15:8]}; pc <= pcp2; end
 
 
                `SUB_SP:        begin res <= isp - {{24{ir[15]}},ir[15:8]}; pc <= pc + 32'd2; end
                `SUB_SP8:       begin res <= isp - {{24{ir[15]}},ir[15:8]}; pc <= pcp2; end
 
                `SUB_SP16:      begin res <= isp - {{16{ir[23]}},ir[23:8]}; pc <= pcp3; end
 
                `SUB_SP32:      begin res <= isp - ir[39:8]; pc <= pcp5; end
 
 
                `LDX_ZPX,`LDY_ZPX:
                `LDX_ZPX,`LDY_ZPX:
                        begin
                        begin
                                radr <= zpx32xy_address;
                                radr <= zpx32xy_address;
                                pc <= pc + 32'd3;
                                pc <= pcp3;
                                load_what <= `WORD_311;
                                load_what <= `WORD_311;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ORB_ZPX:
                `ORB_ZPX:
                        begin
                        begin
                                a <= rfoa;
                                a <= rfoa;
                                Rt <= ir[19:16];
                                Rt <= ir[19:16];
                                radr <= zpx32_address[31:2];
                                radr <= zpx32_address[31:2];
                                radr2LSB <= zpx32_address[1:0];
                                radr2LSB <= zpx32_address[1:0];
                                pc <= pc + 32'd4;
                                pc <= pcp4;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `LDX_ABS,`LDY_ABS:
                `LDX_ABS,`LDY_ABS:
                        begin
                        begin
                                radr <= ir[39:8];
                                radr <= ir[39:8];
                                pc <= pc + 32'd5;
                                pc <= pcp5;
                                load_what <= `WORD_311;
                                load_what <= `WORD_311;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ORB_ABS:
                `ORB_ABS:
                        begin
                        begin
                                a <= rfoa;
                                a <= rfoa;
                                Rt <= ir[15:12];
                                Rt <= ir[15:12];
                                radr <= ir[47:18];
                                radr <= ir[47:18];
                                radr2LSB <= ir[17:16];
                                radr2LSB <= ir[17:16];
                                pc <= pc + 32'd6;
                                pc <= pcp6;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `LDX_ABSY,`LDY_ABSX:
                `LDX_ABSY,`LDY_ABSX:
                        begin
                        begin
                                radr <= absx32xy_address;
                                radr <= absx32xy_address;
                                pc <= pc + 32'd6;
                                pc <= pcp6;
                                load_what <= `WORD_311;
                                load_what <= `WORD_311;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ORB_ABSX:
                `ORB_ABSX:
                        begin
                        begin
                                a <= rfoa;
                                a <= rfoa;
                                Rt <= ir[19:16];
                                Rt <= ir[19:16];
                                radr <= absx32_address[31:2];
                                radr <= absx32_address[31:2];
                                radr2LSB <= absx32_address[1:0];
                                radr2LSB <= absx32_address[1:0];
                                pc <= pc + 32'd7;
                                pc <= pcp7;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ST_ZPX:
                `ST_ZPX:
                        begin
                        begin
                                wadr <= zpx32_address;
                                wadr <= zpx32_address;
                                wdat <= rfoa;
                                wdat <= rfoa;
                                pc <= pc + 32'd4;
                                pc <= pcp4;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `STB_ZPX:
                `STB_ZPX:
                        begin
                        begin
                                wadr <= zpx32_address[31:2];
                                wadr <= zpx32_address[31:2];
                                wadr2LSB <= zpx32_address[1:0];
                                wadr2LSB <= zpx32_address[1:0];
                                pc <= pc + 32'd4;
                                wdat <= {4{rfoa[7:0]}};
 
                                pc <= pcp4;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `ST_DSP:
                `ST_DSP:
                        begin
                        begin
                                wadr <= {{24{ir[23]}},ir[23:16]} + isp;
                                wadr <= {{24{ir[23]}},ir[23:16]} + isp;
                                wdat <= rfoa;
                                wdat <= rfoa;
                                pc <= pc + 32'd3;
                                pc <= pcp3;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `ST_ABS:
                `ST_ABS:
                        begin
                        begin
                                wadr <= ir[47:16];
                                wadr <= ir[47:16];
                                wdat <= rfoa;
                                wdat <= rfoa;
                                pc <= pc + 32'd6;
                                pc <= pcp6;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `STB_ABS:
                `STB_ABS:
                        begin
                        begin
                                wadr <= ir[47:18];
                                wadr <= ir[47:18];
                                wadr2LSB <= ir[17:16];
                                wadr2LSB <= ir[17:16];
                                wdat <= {4{rfoa[7:0]}};
                                wdat <= {4{rfoa[7:0]}};
                                pc <= pc + 32'd6;
                                pc <= pcp6;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `ST_ABSX:
                `ST_ABSX:
                        begin
                        begin
                                wadr <= absx32_address;
                                wadr <= absx32_address;
                                wdat <= rfoa;
                                wdat <= rfoa;
                                pc <= pc + 32'd7;
                                pc <= pcp7;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `STB_ABSX:
                `STB_ABSX:
                        begin
                        begin
                                wadr <= absx32_address[31:2];
                                wadr <= absx32_address[31:2];
                                wadr2LSB <= absx32_address[1:0];
                                wadr2LSB <= absx32_address[1:0];
                                wdat <= {4{rfoa[7:0]}};
                                wdat <= {4{rfoa[7:0]}};
                                pc <= pc + 32'd7;
                                pc <= pcp7;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `STX_ZPX:
                `STX_ZPX:
                        begin
                        begin
                                wadr <= dp + ir[23:12] + rfoa;
                                wadr <= dp + ir[23:12] + rfoa;
                                wdat <= x;
                                wdat <= x;
                                pc <= pc + 32'd3;
                                pc <= pcp3;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `STX_ABS:
                `STX_ABS:
                        begin
                        begin
                                wadr <= ir[39:8];
                                wadr <= ir[39:8];
                                wdat <= x;
                                wdat <= x;
                                pc <= pc + 32'd5;
                                pc <= pcp5;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `STY_ZPX:
                `STY_ZPX:
                        begin
                        begin
                                wadr <= dp + ir[23:12] + rfoa;
                                wadr <= dp + ir[23:12] + rfoa;
                                wdat <= y;
                                wdat <= y;
                                pc <= pc + 32'd3;
                                pc <= pcp3;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `STY_ABS:
                `STY_ABS:
                        begin
                        begin
                                wadr <= ir[39:8];
                                wadr <= ir[39:8];
                                wdat <= y;
                                wdat <= y;
                                pc <= pc + 32'd5;
                                pc <= pcp5;
                                state <= STORE1;
                                state <= STORE1;
                        end
                        end
                `ADD_ZPX,`SUB_ZPX,`OR_ZPX,`AND_ZPX,`EOR_ZPX:
                `ADD_ZPX,`SUB_ZPX,`OR_ZPX,`AND_ZPX,`EOR_ZPX:
                        begin
                        begin
                                a <= rfoa;
                                a <= rfoa;
                                Rt <= ir[19:16];
                                Rt <= ir[19:16];
                                radr <= zpx32_address;
                                radr <= zpx32_address;
                                pc <= pc + 32'd4;
                                pc <= pcp4;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ASL_ZPX,`ROL_ZPX,`LSR_ZPX,`ROR_ZPX,`INC_ZPX,`DEC_ZPX:
                `ASL_ZPX,`ROL_ZPX,`LSR_ZPX,`ROR_ZPX,`INC_ZPX,`DEC_ZPX:
                        begin
                        begin
                                radr <= dp + rfoa + ir[23:12];
                                radr <= dp + rfoa + ir[23:12];
                                pc <= pc + 32'd3;
                                pc <= pcp3;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ADD_DSP,`SUB_DSP,`OR_DSP,`AND_DSP,`EOR_DSP:
                `ADD_DSP,`SUB_DSP,`OR_DSP,`AND_DSP,`EOR_DSP:
                        begin
                        begin
                                a <= rfoa;
                                a <= rfoa;
                                Rt <= ir[15:12];
                                Rt <= ir[15:12];
                                radr <= {{24{ir[23]}},ir[23:16]} + isp;
                                radr <= {{24{ir[23]}},ir[23:16]} + isp;
                                pc <= pc + 32'd3;
                                pc <= pcp3;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ADD_IX,`SUB_IX,`OR_IX,`AND_IX,`EOR_IX,`ST_IX:
                `ADD_IX,`SUB_IX,`OR_IX,`AND_IX,`EOR_IX,`ST_IX:
                        begin
                        begin
                                a <= rfoa;
                                a <= rfoa;
                                if (ir[7:0]==`ST_IX)
                                if (ir[7:0]!=`ST_IX)     // for ST_IX, Rt=0
                                        res <= rfoa;            // for ST_IX, Rt=0
 
                                else
 
                                        Rt <= ir[19:16];
                                        Rt <= ir[19:16];
                                pc <= pc + 32'd4;
                                pc <= pcp4;
                                radr <= dp + ir[31:20] + rfob;
                                radr <= dp + ir[31:20] + rfob;
                                load_what <= `IA_310;
                                load_what <= `IA_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ADD_RIND,`SUB_RIND,`OR_RIND,`AND_RIND,`EOR_RIND,`ST_RIND:
                `ADD_RIND,`SUB_RIND,`OR_RIND,`AND_RIND,`EOR_RIND:
                        begin
                        begin
                                radr <= rfob;
                                radr <= rfob;
                                wadr <= rfob;           // for store
 
                                wdat <= rfoa;
 
                                a <= rfoa;
                                a <= rfoa;
                                if (ir[7:0]==`ST_RIND) begin
 
                                        res <= rfoa;            // for ST_IX, Rt=0
 
                                        pc <= pc + 32'd2;
 
                                        state <= STORE1;
 
                                end
 
                                else begin
 
                                        Rt <= ir[19:16];
                                        Rt <= ir[19:16];
                                        pc <= pc + 32'd3;
                                pc <= pcp3;
                                        load_what <= `WORD_310;
                                        load_what <= `WORD_310;
                                        state <= LOAD_MAC1;
                                        state <= LOAD_MAC1;
                                end
                                end
 
                `ST_RIND:
 
                        begin
 
                                wadr <= rfob;
 
                                wdat <= rfoa;
 
                                pc <= pcp2;
 
                                state <= STORE1;
                        end
                        end
                `ADD_IY,`SUB_IY,`OR_IY,`AND_IY,`EOR_IY,`ST_IY:
                `ADD_IY,`SUB_IY,`OR_IY,`AND_IY,`EOR_IY,`ST_IY:
                        begin
                        begin
                                a <= rfoa;
                                a <= rfoa;
                                if (ir[7:0]==`ST_IY)
                                if (ir[7:0]!=`ST_IY)     // for ST_IY, Rt=0
                                        res <= rfoa;            // for ST_IY, Rt=0
 
                                else
 
                                        Rt <= ir[19:16];
                                        Rt <= ir[19:16];
                                pc <= pc + 32'd4;
                                pc <= pcp4;
                                isIY <= 1'b1;
                                isIY <= 1'b1;
                                radr <= dp + ir[31:20];
                                radr <= dp + ir[31:20];
                                load_what <= `IA_310;
                                load_what <= `IA_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ADD_ABS,`SUB_ABS,`OR_ABS,`AND_ABS,`EOR_ABS:
                `ADD_ABS,`SUB_ABS,`OR_ABS,`AND_ABS,`EOR_ABS:
                        begin
                        begin
                                a <= rfoa;
                                a <= rfoa;
                                radr <= ir[47:16];
                                radr <= ir[47:16];
                                Rt <= ir[15:12];
                                Rt <= ir[15:12];
                                pc <= pc + 32'd6;
                                pc <= pcp6;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ASL_ABS,`ROL_ABS,`LSR_ABS,`ROR_ABS,`INC_ABS,`DEC_ABS:
                `ASL_ABS,`ROL_ABS,`LSR_ABS,`ROR_ABS,`INC_ABS,`DEC_ABS:
                        begin
                        begin
                                radr <= ir[39:8];
                                radr <= ir[39:8];
                                pc <= pc + 32'd5;
                                pc <= pcp5;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ADD_ABSX,`SUB_ABSX,`OR_ABSX,`AND_ABSX,`EOR_ABSX:
                `ADD_ABSX,`SUB_ABSX,`OR_ABSX,`AND_ABSX,`EOR_ABSX:
                        begin
                        begin
                                a <= rfoa;
                                a <= rfoa;
                                radr <= ir[55:24] + rfob;
                                radr <= ir[55:24] + rfob;
                                Rt <= ir[19:16];
                                Rt <= ir[19:16];
                                pc <= pc + 32'd7;
                                pc <= pcp7;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `ASL_ABSX,`ROL_ABSX,`LSR_ABSX,`ROR_ABSX,`INC_ABSX,`DEC_ABSX:
                `ASL_ABSX,`ROL_ABSX,`LSR_ABSX,`ROR_ABSX,`INC_ABSX,`DEC_ABSX:
                        begin
                        begin
                                radr <= ir[47:16] + rfob;
                                radr <= ir[47:16] + rfob;
                                pc <= pc + 32'd6;
                                pc <= pcp6;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `CPX_IMM32:
                `CPX_IMM32:
                        begin
                        begin
                                res <= x - ir[39:8];
                                res <= x - ir[39:8];
                                pc <= pc + 32'd5;
                                pc <= pcp5;
                                state <= IFETCH;
                                state <= IFETCH;
                        end
                        end
                `CPY_IMM32:
                `CPY_IMM32:
                        begin
                        begin
                                res <= y - ir[39:8];
                                res <= y - ir[39:8];
                                pc <= pc + 32'd5;
                                pc <= pcp5;
                                state <= IFETCH;
                                state <= IFETCH;
                        end
                        end
                `CPX_ZPX:
                `CPX_ZPX:
                        begin
                        begin
                                radr <= dp + ir[23:12] + rfoa;
                                radr <= dp + ir[23:12] + rfoa;
                                pc <= pc + 32'd3;
                                pc <= pcp3;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `CPY_ZPX:
                `CPY_ZPX:
                        begin
                        begin
                                radr <= dp + ir[23:12] + rfoa;
                                radr <= dp + ir[23:12] + rfoa;
                                pc <= pc + 32'd3;
                                pc <= pcp3;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `CPX_ABS:
                `CPX_ABS:
                        begin
                        begin
                                radr <= ir[39:8];
                                radr <= ir[39:8];
                                pc <= pc + 32'd5;
                                pc <= pcp5;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `CPY_ABS:
                `CPY_ABS:
                        begin
                        begin
                                radr <= ir[39:8];
                                radr <= ir[39:8];
                                pc <= pc + 32'd5;
                                pc <= pcp5;
                                load_what <= `WORD_310;
                                load_what <= `WORD_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `BRK:
                `BRK:
                        begin
                        begin
                                bf <= 1'b1;
                                bf <= 1'b1;
                                radr <= isp_dec;
                                radr <= isp_dec;
                                wadr <= isp_dec;
                                wadr <= isp_dec;
                                wdat <= pc + 32'd1;
                                wdat <= pc+2;
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
                                we_o <= 1'b1;
                                we_o <= 1'b1;
                                sel_o <= 4'hF;
                                sel_o <= 4'hF;
                                adr_o <= {isp_dec,2'b00};
                                adr_o <= {isp_dec,2'b00};
                                dat_o <= pc + 32'd1;
                                dat_o <= pc+2;
                                vect <= {vbr[31:9],`BRK_VECTNO,2'b00};
                                vect <= {vbr[31:9],`BRK_VECTNO,2'b00};
                                state <= IRQ1;
                                state <= IRQ1;
                        end
                        end
                `INT0,`INT1:
                `INT0,`INT1:
                        begin
                        begin
Line 458... Line 482...
                        end
                        end
                `JSR:
                `JSR:
                        begin
                        begin
                                radr <= isp_dec;
                                radr <= isp_dec;
                                wadr <= isp_dec;
                                wadr <= isp_dec;
                                wdat <= pc + 32'd3;
                                wdat <= suppress_pcinc[0] ? pc + 32'd3 : pc + 32'd2;
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
                                we_o <= 1'b1;
                                we_o <= 1'b1;
                                sel_o <= 4'hF;
                                sel_o <= 4'hF;
                                adr_o <= {isp_dec,2'b00};
                                adr_o <= {isp_dec,2'b00};
                                dat_o <= pc + 32'd3;
                                dat_o <= suppress_pcinc[0] ? pc + 32'd3 : pc + 32'd2;
                                vect <= {pc[31:16],ir[23:8]};
                                vect <= {pc[31:16],ir[23:8]};
                                state <= JSR1;
                                state <= JSR1;
                        end
                        end
                `JSR_RIND:
                `JSR_RIND:
                        begin
                        begin
Line 487... Line 511...
                        end
                        end
                `JSL:
                `JSL:
                        begin
                        begin
                                radr <= isp_dec;
                                radr <= isp_dec;
                                wadr <= isp_dec;
                                wadr <= isp_dec;
                                wdat <= pc + 32'd5;
                                wdat <= suppress_pcinc[0] ? pc + 32'd5 : pc + 32'd2;
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
                                we_o <= 1'b1;
                                we_o <= 1'b1;
                                sel_o <= 4'hF;
                                sel_o <= 4'hF;
                                adr_o <= {isp_dec,2'b00};
                                adr_o <= {isp_dec,2'b00};
                                dat_o <= pc + 32'd5;
                                dat_o <= suppress_pcinc[0] ? pc + 32'd5 : pc + 32'd2;
                                vect <= ir[39:8];
                                vect <= ir[39:8];
                                state <= JSR1;
                                state <= JSR1;
                        end
                        end
                `BSR:
                `BSR:
                        begin
                        begin
                                radr <= isp_dec;
                                radr <= isp_dec;
                                wadr <= isp_dec;
                                wadr <= isp_dec;
                                wdat <= pc + 32'd3;
                                wdat <= suppress_pcinc[0] ? pc + 32'd3 : pc + 32'd2;
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
                                we_o <= 1'b1;
                                we_o <= 1'b1;
                                sel_o <= 4'hF;
                                sel_o <= 4'hF;
                                adr_o <= {isp_dec,2'b00};
                                adr_o <= {isp_dec,2'b00};
                                dat_o <= pc + 32'd3;
                                dat_o <= suppress_pcinc[0] ? pc + 32'd3 : pc + 32'd2;
                                vect <= pc + {{16{ir[23]}},ir[23:8]};
                                vect <= pc + {{16{ir[23]}},ir[23:8]};
                                state <= JSR1;
                                state <= JSR1;
                        end
                        end
                `JSR_INDX:
                `JSR_INDX:
                        begin
                        begin
                                radr <= isp - 32'd1;
                                radr <= isp - 32'd1;
                                wadr <= isp - 32'd1;
                                wadr <= isp - 32'd1;
                                wdat <= pc + 32'd5;
                                wdat <= suppress_pcinc[0] ? pc + 32'd5 : pc + 32'd2;
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
                                we_o <= 1'b1;
                                we_o <= 1'b1;
                                sel_o <= 4'hF;
                                sel_o <= 4'hF;
                                adr_o <= {isp-32'd1,2'b00};
                                adr_o <= {isp-32'd1,2'b00};
                                dat_o <= pc + 32'd5;
                                dat_o <= suppress_pcinc[0] ? pc + 32'd5 : pc + 32'd2;
                                state <= JSR_INDX1;
                                state <= JSR_INDX1;
                        end
                        end
//              `JSR16:
//              `JSR16:
//                      begin
//                      begin
//                              radr <= isp - 32'd1;
//                              radr <= isp - 32'd1;
Line 568... Line 592...
                                end
                                end
                                else if (ir[15:8]==8'h1) begin
                                else if (ir[15:8]==8'h1) begin
                                        if (takb)
                                        if (takb)
                                                pc <= pc + {{16{ir[31]}},ir[31:16]};
                                                pc <= pc + {{16{ir[31]}},ir[31:16]};
                                        else
                                        else
                                                pc <= pc + 32'd4;
                                                pc <= pcp4;
                                end
                                end
                                else begin
                                else begin
                                        if (takb)
                                        if (takb)
                                                pc <= pc + {{24{ir[15]}},ir[15:8]};
                                                pc <= pc + {{24{ir[15]}},ir[15:8]};
                                        else
                                        else
                                                pc <= pc + 32'd2;
                                                pc <= pcp2;
                                end
                                end
                        end
                        end
/*              `BEQ_RR:
/*              `BEQ_RR:
                        begin
                        begin
                                state <= IFETCH;
                                state <= IFETCH;
Line 611... Line 635...
                `BRL:
                `BRL:
                        begin
                        begin
                                if (ir[23:8]==16'h0000) begin
                                if (ir[23:8]==16'h0000) begin
                                        radr <= isp_dec;
                                        radr <= isp_dec;
                                        wadr <= isp_dec;
                                        wadr <= isp_dec;
                                        wdat <= pc + 32'd3;
                                        wdat <= suppress_pcinc[0] ? pc + 32'd3 : pc + 32'd2;
                                        cyc_o <= 1'b1;
                                        cyc_o <= 1'b1;
                                        stb_o <= 1'b1;
                                        stb_o <= 1'b1;
                                        we_o <= 1'b1;
                                        we_o <= 1'b1;
                                        sel_o <= 4'hF;
                                        sel_o <= 4'hF;
                                        adr_o <= {isp_dec,2'b00};
                                        adr_o <= {isp_dec,2'b00};
                                        dat_o <= pc + 32'd3;
                                        dat_o <= suppress_pcinc[0] ? pc + 32'd3 : pc + 32'd2;
                                        vect <= {vbr[31:9],`SLP_VECTNO,2'b00};
                                        vect <= {vbr[31:9],`SLP_VECTNO,2'b00};
                                        state <= IRQ1;
                                        state <= IRQ1;
                                end
                                end
                                else begin
                                else begin
                                        pc <= pc + {{16{ir[23]}},ir[23:8]};
                                        pc <= pc + {{16{ir[23]}},ir[23:8]};
                                        state <= IFETCH;
                                        state <= IFETCH;
                                end
                                end
                        end
                        end
 
                `EXEC,`ATNI:
 
                        begin
 
                                exbuf[31:0] <= rfoa;
 
                                exbuf[63:32] <= rfob;
 
                                pc <= pc + 32'd2;
 
                                state <= IFETCH;
 
                        end
                `PHP:
                `PHP:
                        begin
                        begin
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
                                sel_o <= 4'hF;
                                sel_o <= 4'hF;
Line 638... Line 669...
                                wadr <= isp_dec;
                                wadr <= isp_dec;
                                wdat <= sr;
                                wdat <= sr;
                                adr_o <= {isp_dec,2'b00};
                                adr_o <= {isp_dec,2'b00};
                                dat_o <= sr;
                                dat_o <= sr;
                                isp <= isp_dec;
                                isp <= isp_dec;
                                state <= PHP1;
                                state <= STORE2;
                        end
                        end
                `PHA:
                `PHA:
                        begin
                        begin
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
Line 652... Line 683...
                                wadr <= isp_dec;
                                wadr <= isp_dec;
                                wdat <= acc;
                                wdat <= acc;
                                adr_o <= {isp_dec,2'b00};
                                adr_o <= {isp_dec,2'b00};
                                dat_o <= acc;
                                dat_o <= acc;
                                isp <= isp_dec;
                                isp <= isp_dec;
                                state <= PHP1;
                                state <= STORE2;
                        end
                        end
                `PHX:
                `PHX:
                        begin
                        begin
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
Line 666... Line 697...
                                wadr <= isp_dec;
                                wadr <= isp_dec;
                                wdat <= x;
                                wdat <= x;
                                adr_o <= {isp_dec,2'b00};
                                adr_o <= {isp_dec,2'b00};
                                dat_o <= x;
                                dat_o <= x;
                                isp <= isp_dec;
                                isp <= isp_dec;
                                state <= PHP1;
                                state <= STORE2;
                        end
                        end
                `PHY:
                `PHY:
                        begin
                        begin
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
Line 680... Line 711...
                                wadr <= isp_dec;
                                wadr <= isp_dec;
                                wdat <= y;
                                wdat <= y;
                                adr_o <= {isp_dec,2'b00};
                                adr_o <= {isp_dec,2'b00};
                                dat_o <= y;
                                dat_o <= y;
                                isp <= isp_dec;
                                isp <= isp_dec;
                                state <= PHP1;
                                state <= STORE2;
                        end
                        end
                `PUSH:
                `PUSH:
                        begin
                        begin
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
Line 693... Line 724...
                                radr <= isp_dec;
                                radr <= isp_dec;
                                wadr <= isp_dec;
                                wadr <= isp_dec;
                                wdat <= rfoa;
                                wdat <= rfoa;
                                adr_o <= {isp_dec,2'b00};
                                adr_o <= {isp_dec,2'b00};
                                dat_o <= rfoa;
                                dat_o <= rfoa;
                                state <= PHP1;
                                state <= STORE2;
                                isp <= isp_dec;
                                isp <= isp_dec;
                                pc <= pc + 32'd1;
                                pc <= pcp2;
                        end
                        end
                `PLP:
                `PLP:
                        begin
                        begin
                                radr <= isp;
                                radr <= isp;
                                pc <= pc + 32'd1;
 
                                load_what <= `SR_310;
                                load_what <= `SR_310;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                        end
                        end
                `PLA,`PLX,`PLY:
                `PLA,`PLX,`PLY:
                        begin
                        begin
                                radr <= isp;
                                radr <= isp;
                                isp <= isp_inc;
                                isp <= isp_inc;
                                load_what <= `WORD_311;
                                load_what <= `WORD_311;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                                pc <= pc + 32'd1;
 
                        end
                        end
                `POP:
                `POP:
                        begin
                        begin
                                Rt <= ir[15:12];
                                Rt <= ir[15:12];
                                radr <= isp;
                                radr <= isp;
                                isp <= isp_inc;
                                isp <= isp_inc;
                                load_what <= `WORD_311;
                                load_what <= `WORD_311;
                                state <= LOAD_MAC1;
                                state <= LOAD_MAC1;
                                pc <= pc + 32'd2;
                                pc <= pcp2;
                        end
                        end
                `MVN:   state <= MVN1;
//              `MVN:   state <= MVN1;
                `MVP:   state <= MVP1;
//              `MVP:   state <= MVP1;
                default:        // unimplemented opcode
                default:        // unimplemented opcode
                        begin
                        begin
                                radr <= isp_dec;
                                radr <= isp_dec;
                                wadr <= isp_dec;
                                wadr <= isp_dec;
                                wdat <= pc + 32'd1;
                                wdat <= suppress_pcinc[0] ? pc + 32'd1 : pc + 32'd2;
                                cyc_o <= 1'b1;
                                cyc_o <= 1'b1;
                                stb_o <= 1'b1;
                                stb_o <= 1'b1;
                                we_o <= 1'b1;
                                we_o <= 1'b1;
                                sel_o <= 4'hF;
                                sel_o <= 4'hF;
                                adr_o <= {isp_dec,2'b00};
                                adr_o <= {isp_dec,2'b00};
                                dat_o <= pc + 32'd1;
                                dat_o <= suppress_pcinc[0] ? pc + 32'd1 : pc + 32'd2;
                                vect <= {vbr[31:9],9'd495,2'b00};
                                vect <= {vbr[31:9],9'd495,2'b00};
                                state <= IRQ1;
                                state <= IRQ1;
                        end
                        end
                endcase
                endcase
        end
        end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.