OpenCores
URL https://opencores.org/ocsvn/rv01_riscv_core/rv01_riscv_core/trunk

Subversion Repositories rv01_riscv_core

[/] [rv01_riscv_core/] [trunk/] [VHDL/] [RV01_cpu_2w_p6.vhd] - Diff between revs 2 and 4

Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 718... Line 718...
 
 
      RES_o : out SDWORD_T --  result
      RES_o : out SDWORD_T --  result
    );
    );
  end component;
  end component;
 
 
  component RV01_PIPE_A_RMX_X2 is
 
    generic(
 
      NW : natural := 2
 
    );
 
    port(
 
      OPA_V_i :  in std_logic;
 
      OPB_V_i :  in std_logic;
 
      OPA_i : in SDWORD_T;
 
      OPB_i : in SDWORD_T;
 
      INSTR_i : in DEC_INSTR_T;
 
      IX3_V_i : in std_logic_vector(NW-1 downto 0);
 
      IX3_INSTR_i : in DEC_INSTR_VEC_T(NW-1 downto 0);
 
      IX3_RES0_i : in SDWORD_T;
 
      IX3_RES1_i : in SDWORD_T;
 
 
 
      OPA_V_o :  out std_logic;
 
      OPB_V_o :  out std_logic;
 
      OPA_o : out SDWORD_T;
 
      OPB_o : out SDWORD_T
 
  );
 
  end component;
 
 
 
  component RV01_PSTLLOG_2W_P6 is
  component RV01_PSTLLOG_2W_P6 is
    generic(
    generic(
      DXE : std_logic := '1';
      DXE : std_logic := '1';
      SIMULATION_ONLY : std_logic := '0'
      SIMULATION_ONLY : std_logic := '0'
    );
    );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.