OpenCores
URL https://opencores.org/ocsvn/sdcard_mass_storage_controller/sdcard_mass_storage_controller/trunk

Subversion Repositories sdcard_mass_storage_controller

[/] [sdcard_mass_storage_controller/] [trunk/] [rtl/] [sdc_fifo/] [verilog/] [sd_controller_fifo_wb.v] - Diff between revs 36 and 95

Show entire file | Details | Blame | View Log

Rev 36 Rev 95
Line 48... Line 48...
output wire sd_cmd_out_o;
output wire sd_cmd_out_o;
output wire sd_cmd_oe_o;
output wire sd_cmd_oe_o;
 
 
output sd_clk_o_pad;
output sd_clk_o_pad;
wire sd_clk_i;
wire sd_clk_i;
 
 
 
   `ifdef SD_CLK_EXT
input sd_clk_i_pad;
input sd_clk_i_pad;
 
   `endif
 
 
 
 
 
 
`define tx_cmd_fifo 4'h0
`define tx_cmd_fifo 4'h0
`define rx_cmd_fifo 4'h1
`define rx_cmd_fifo 4'h1
`define tx_data_fifo 4'h2
`define tx_data_fifo 4'h2
`define rx_data_fifo 4'h3
`define rx_data_fifo 4'h3
Line 285... Line 290...
end
end
end
end
 
 
 
 
//just to get rid of warnings....
//just to get rid of warnings....
 assign m_wb_adr_o =0;
 
 assign m_wb_sel_o =0;
 
 assign m_wb_we_o=0;
 
 assign m_wb_dat_o =0;
 
 
 
 assign m_wb_cyc_o=0;
 
 assign m_wb_stb_o=0;
 
 assign m_wb_cti_o=0;
 
 assign m_wb_bte_o=0;
 
 
 
 
 
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.